Design Of Semiconductor Mask Or Reticle Patents (Class 716/50)
  • Patent number: 8945802
    Abstract: A method for measuring flare information of a projection optical system includes arranging, on an object plane of the projection optical system, a sectoral pattern surrounded by a first side, a second side which is inclined at a predetermined angle with respect to the first side, and an inner diameter portion and an outer diameter portion which connect both ends of the first side and both ends of the second side; projecting an image of the sectoral pattern via the projection optical system; and determining the flare information based on a light amount of the image of the sectoral pattern and a light amount provided at a position away from the image. With the flare measuring method, it is possible to correctly measure the flare information in an arbitrary angle range of the sectoral pattern.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: February 3, 2015
    Assignee: Nikon Corporation
    Inventor: Masayuki Shiraishi
  • Patent number: 8949750
    Abstract: A method and system for fracturing or mask data preparation is disclosed in which the central core portion of a diagonal pattern is fractured using overlapping variable shaped beam (VSB) shots, and an outer portion of the diagonal pattern is fractured using non-overlapping VSB shots. A transition region is interposed between the central core and outer pattern portions, and transition region shots are generated so as to produce in the transferred pattern a smooth transition in pattern characteristics such as line edge roughness or period of waviness, from the central core portion of the pattern to the outer portion of the pattern. A pattern determined by the transition region shots is then compared to a reticle pattern created using conventional non-overlapping VSB shots. Methods for forming a semiconductor device layout pattern on a reticle or substrate are also disclosed.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: February 3, 2015
    Assignee: D2S, Inc.
    Inventors: Etienne Jacques, Jin Choi, Kazuyuki Hagiwara
  • Patent number: 8945801
    Abstract: Data regarding a first corrected patterns on a single cell corrected such that an evaluation value of a pattern formed on a substrate after an image of a pattern of the single cell is projected onto a resist on the substrate and the resist is developed is obtained for each of a plurality of cells, a first evaluation value obtained by evaluating a projected image of the first corrected pattern on the single cell generated by the projection system is obtained for each of the cells, a second evaluation value obtained by, when the cells are arranged adjacent to one another, evaluating the projected images of the first corrected patterns on the cells is calculated, and creating a second corrected pattern by correcting the first corrected patterns on the cells arranged adjacent to one another such that the second evaluation value becomes close to the first evaluation value.
    Type: Grant
    Filed: September 11, 2013
    Date of Patent: February 3, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ryo Nakayama, Tadashi Arai
  • Patent number: 8938694
    Abstract: A three-dimensional mask model of the invention provides a more realistic approximation of the three-dimensional effects of a photolithography mask with sub-wavelength features than a thin-mask model. In one embodiment, the three-dimensional mask model includes a set of filtering kernels in the spatial domain that are configured to be convolved with thin-mask transmission functions to produce a near-field image. In another embodiment, the three-dimensional mask model includes a set of correction factors in the frequency domain that are configured to be multiplied by the Fourier transform of thin-mask transmission functions to produce a near-field image.
    Type: Grant
    Filed: November 15, 2013
    Date of Patent: January 20, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Peng Liu, Yu Cao, Luoqi Chen, Jun Ye
  • Patent number: 8935639
    Abstract: A route technique includes: receiving an input specifying a plurality of semiconductor device components and their logical connections; determining route information pertaining to a plurality of routes that connect in one or more metal layers the semiconductor device components according to their logical connections, the determination being based at least in part on a plurality of predefined tracks associated with a metal layer; and outputting at least a portion of the route information. A first portion of the plurality of predefined tracks corresponds to a first color and a second portion of the plurality of predefined tracks corresponds to a second color.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: January 13, 2015
    Assignee: Atoptech, Inc.
    Inventor: Ping-San Tzeng
  • Patent number: 8930860
    Abstract: A layout decomposition method and a method for manufacturing a semiconductor device applying the same are provided. According to the layout decomposition method, a design layout is received by the logic processor of a computing system. A design rule for layout decomposition is then identified by the logic processor, including identifying dense areas (areas with densely distributed features) on a substrate, and identifying areas with odd-numbered features on the substrate. Next, a first mask with a first pattern and a second mask with a second pattern are generated corresponding to results of design rule identification by the computing system for fabricating patterns of features in at least two of the areas with odd-numbered features in one of the dense areas.
    Type: Grant
    Filed: April 1, 2014
    Date of Patent: January 6, 2015
    Assignee: United Microelectronics Corp.
    Inventor: Yu-Cheng Tung
  • Patent number: 8930856
    Abstract: Aspects of the invention relate to techniques for mask rule checking based on curvature information. The curvature information comprises convex curvature information and concave curvature information. The convex curvature information for a vertex of a mask feature may comprise a convex curvature value derived based on the size of a circle that passes through the vertex, is tangent to an edge and does not cross any other edges. The concave curvature information for the vertex may comprise a concave curvature value derived based on the size of a circle that is tangent to two edges that form the vertex and does not cross any other edges, and of which distance from the vertex measured from the nearest point is no more than a predetermined number. The generated curvature information is compared with threshold curvature information to determine mask rule violations.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: January 6, 2015
    Assignee: Mentor Graphics Corporation
    Inventor: Emile Y Sahouria
  • Patent number: 8921016
    Abstract: One illustrative method disclosed herein includes the steps of decomposing an initial overall target exposure pattern into at least a first decomposed sub-target pattern and a second decomposed sub-target pattern, performing first and second retargeting processes on the first and second decomposed sub-target patterns while using the other sub-target pattern as a reference layer, respectively, to thereby define retargeted first and second decomposed sub-target patterns, respectively, and, after performing the first and second retargeting processes, performing at least one process operation to determine if each of the retargeted first decomposed sub-target pattern and the retargeted second decomposed sub-target pattern is in compliance with at least one design rule.
    Type: Grant
    Filed: July 8, 2013
    Date of Patent: December 30, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Chidambaram G. Kallingal, YuYang Sun
  • Patent number: 8918745
    Abstract: Methodology enabling a reduction in a density difference between two complementary exposure masks and/or windows of a layout and an apparatus for performing the method are disclosed. Embodiments include: determining a layer of an IC design having features to be resolved by first and second masks; determining a difference of density by comparing a first density of a first set of the features with a second density of a second set of the features; determining a region on the layer of a first feature to be resolved by the first mask; and inserting, within the region, a polygon to be resolved by the second mask based on the difference of density.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: December 23, 2014
    Assignee: GlobalFoundries Inc.
    Inventors: Lynn Wang, Sriram Madhavan, Luigi Capodieci
  • Patent number: 8918744
    Abstract: Described herein is a method for simulating an image formed within a resist layer on a substrate resulting from an incident radiation, the substrate having a first feature and a second feature underlying the resist layer, the method comprising: simulating a first partial image using interaction of the incident radiation and the first feature without using interaction of the incident radiation and the second feature; simulating a second partial image using the interaction of the incident radiation and of the second feature without using the interaction of the incident radiation and the first feature; computing the image formed within the resist layer from the first partial image, and the second partial image; wherein the interaction of the incident radiation and the first feature is different from the interaction of the incident radiation and the second feature.
    Type: Grant
    Filed: February 6, 2013
    Date of Patent: December 23, 2014
    Assignee: ASML Netherlands B.V.
    Inventor: Song Lan
  • Patent number: 8914754
    Abstract: A semiconductor inspection apparatus identifies regions of a reticle or semiconductor wafer appropriate for cell-to-cell inspection by analyzing a semiconductor design database. Appropriate regions can be identified in a region map for use by offline inspection tools.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: December 16, 2014
    Assignee: KLA-Tencor Corporation
    Inventors: Carl Hess, John D. Miller, Shi Rui-Fang, Chun Guan
  • Patent number: 8914766
    Abstract: According to one embodiment, generating virtual data by mirroring data based on a dimension measurement result in a measurement region on an inner side of a shot region to a non-shot region on an outer side of a shot edge, and calculating dose data of the measurement region and a non-measurement region based on data in the measurement region and the virtual data are included.
    Type: Grant
    Filed: July 1, 2013
    Date of Patent: December 16, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yosuke Okamoto, Takashi Koike
  • Patent number: 8910089
    Abstract: Various embodiments include approaches for calibrating a model for a lithographic printing process. Some embodiments include a computer-implemented method for calibrating a model for a lithographic printing process. Some approaches include: identifying parameters for a model of the lithographic printing process; assembling a population of design content including potentially printable features that can be printed by the lithographic printing process; preparing at least one matrix expressing a similarity between the potentially printable features in terms of the parameters for the model; determining a manifold of smaller dimensionality than the parameters for the model which exhibit maximum variation in similarity within the at least one matrix; and selecting a sample dataset of the potentially printable features from the manifold.
    Type: Grant
    Filed: June 19, 2013
    Date of Patent: December 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Samit Barai, Alan E. Rosenbluth
  • Patent number: 8910091
    Abstract: A method of generating complementary masks based on a target pattern having features to be imaged on a substrate for use in a multiple-exposure lithographic imaging process is disclosed. The method includes defining an initial H-mask and an initial V-mask corresponding to the target pattern; identifying horizontal critical features in the H-mask and vertical critical features in the V-mask; assigning a first phase shift and a first percentage transmission to the horizontal critical features, which are to be formed in the H-mask; and assigning a second phase shift and a second percentage transmission to the vertical critical features, which are to be formed in the V-mask. The method further includes the step of assigning chrome to all non-critical features in the H-mask and the V-mask.
    Type: Grant
    Filed: February 21, 2012
    Date of Patent: December 9, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Jang Fung Chen, Duan-Fu Stephen Hsu, Douglas Van Den Broeke
  • Patent number: 8910092
    Abstract: Integrated circuit design techniques are disclosed. In some methods, a target layout design having a geometric pattern thereon is received. A set of fast-bias contour (FBC) rules is applied to the target layout design to provide an electronic photomask having FBC-edits. The FBC-edits differentiate the electronic photomask from the target layout design, and the FBC rules are applied without previously applying optical proximity correction (OPC) to the target layout design. A lithography process check is performed on the electronic photomask to determine whether a patterned integrated circuit layer, which is to be manufactured based on the electronic photomask, is expected to be in conformance with the geometric pattern of the target layout design.
    Type: Grant
    Filed: November 13, 2013
    Date of Patent: December 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: I-Chang Shih, Feng-Yuan Chiu, Ying-Chou Cheng, Chiu Hsiu Chen, Ru-Gun Liu
  • Patent number: 8910093
    Abstract: A method of modeling an image intended to reside in a photoresist film on a substrate is provided. A simulated latent acid image of the image is produced, the simulated latent acid image is compressed in a predetermined direction, and developed to a pattern that enables (a) transfer of the pattern to the substrate or (b) further modeling of the pattern for transfer to the substrate.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: December 9, 2014
    Assignee: Nikon Corporation
    Inventor: Donis G. Flagello
  • Publication number: 20140353757
    Abstract: Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes using a first mask to pattern a gate material forming a plurality of first and second features. The first features form gate electrodes of the semiconductor devices, whereas the second features are dummy electrodes. Based on the location of these dummy electrodes, selected dummy electrodes are removed using a second mask. The use of the method provides greater flexibility in tailoring individual devices for different objectives.
    Type: Application
    Filed: July 3, 2014
    Publication date: December 4, 2014
    Inventors: Henning Haffner, Manfred Eller, Richard Lindsay
  • Publication number: 20140351771
    Abstract: Scatterometry overlay (SCOL) targets as well as design, production and measurement methods thereof are provided. The SCOL targets have several periodic structures at different measurement directions which share some of their structural target elements or parts thereof. An array of common elements may have symmetry directions which are parallel to the measurement directions and thus enable compacting the targets or alternatively increasing the area use efficiency of the targets. Various configurations enable high flexibility in arranging the number of layers in the target and measurement directions, and carrying out respective overlay measurements among the layers.
    Type: Application
    Filed: August 12, 2014
    Publication date: November 27, 2014
    Inventor: Nuriel Amir
  • Patent number: 8898597
    Abstract: An approach for methodology, and an associated apparatus, enabling a simulation process to check integrity of the design and predict a manufacturability of a resulting circuit that accounts for process latitude without a long turnaround time and/or a highly skilled engineer is disclosed. Embodiments include: determining first and second features of an IC design; determining a thickness of a resist layer of the IC design based on an aerial image of the IC design; determining a threshold value according to the thickness; and comparing the threshold value to a separation distance between the first and second features.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: November 25, 2014
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Qing Yang, Shyue Fong Quek, Gek Soon Chua, Yee Mei Foong, Dong Qing Zhang, Yun Tang
  • Patent number: 8898598
    Abstract: A method of layout pattern modification includes the following steps: step 1: performing an OPC process on a layout containing a plurality of square patterns to obtain a plurality of post-OPC patterns in correspondence with the plurality of square patterns; step 2: performing a manufacturing rule check on each of the plurality of post-OPC patterns to identify, from the plurality of post-OPC patterns, one or more post-OPC patterns violating the manufacturing rule; and step 3: rotating at least one of the one or more post-OPC patterns violating the manufacturing rule; and step 4: performing a manufacturing rule check on each of the rotated and non-rotated post-OPC patterns, if no post-OPC pattern violating the manufacturing rule is identified, finishing the process; otherwise, if one or more post-OPC patterns violating the manufacturing rule are identified, continuing to perform step 3 and step 4.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: November 25, 2014
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventors: Chenming Zhang, HsuSheng Chang, Fang Wei
  • Patent number: 8898529
    Abstract: A circuit arrangement for controlling the masking of test and diagnosis data with X values of an electronic circuit with N scan paths, wherein the test data are provided on insertion into the N scan paths by a decompressor with m inputs and N outputs (m<N) and wherein the masked test data are compacted by a compactor with N data inputs and n data outputs and m<N applies is provided.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: November 25, 2014
    Assignee: Universität Potsdam
    Inventors: Michael Goessel, Michael Richter, Thomas Rabenalt
  • Patent number: 8893058
    Abstract: The present invention relates to a method for tuning lithography systems so as to allow different lithography systems to image different patterns utilizing a known process that does not require a trial and error process to be performed to optimize the process and lithography system settings for each individual lithography system. According to some aspects, the present invention relates to a method for a generic model-based matching and tuning which works for any pattern. Thus it eliminates the requirements for CD measurements or gauge selection. According to further aspects, the invention is also versatile in that it can be combined with certain conventional techniques to deliver excellent performance for certain important patterns while achieving universal pattern coverage at the same time.
    Type: Grant
    Filed: May 14, 2013
    Date of Patent: November 18, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Yu Cao, Hanying Feng, Jun Ye
  • Patent number: 8887107
    Abstract: A method of calculating process corrections for a lithographic tool, and associated apparatuses. The method comprises measuring process defect data on a substrate that has been previously exposed using the lithographic tool; fitting a process signature model to the measured process defect data, so as to obtain a model of the process signature for the lithographic tool; and using the process signature model to calculate the process corrections for the lithographic tool.
    Type: Grant
    Filed: August 9, 2013
    Date of Patent: November 11, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Everhardus Cornelis Mos, Hubertus Johannes Gertrudus Simons, Peter Ten Berge, Nicole Schoumans, Michael Kubis, Paul Cornelis Hubertus Aben
  • Patent number: 8887105
    Abstract: The preset invention provides methods, systems and computer program product for selection of an optimum set of patterns to calibrate a lithography model so that the model can predict imaging performance of a lithography apparatus/system more accurately and reliably without being prohibitively expensive in terms of using computational and metrology resources and time. The method is based on modeling sensitivity of the calibration patterns to measurement noise. In one aspect of the present invention, a method is disclosed, comprising: identifying a model of at least a portion of a lithographic process; identifying a set of patterns for calibrating the model; and, estimating measurement noise associated with the set of patterns.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: November 11, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Antoine Jean Bruguier, Wenjin Shao, Song Lan
  • Patent number: 8881073
    Abstract: Roughly described, a method for approximating stress-induced mobility enhancement in a channel region in an integrated circuit layout, including approximating the stress at each of a plurality of sample points in the channel, converting the stress approximation at each of the sample points to a respective mobility enhancement value, and averaging the mobility enhancement values at all the sample points. The method enables integrated circuit stress analysis that takes into account stresses contributed by multiple stress generation mechanisms, stresses having vector components other than along the length of the channel, and stress contributions (including mitigations) due to the presence of other structures in the neighborhood of the channel region under study, other than the nearest STI interfaces. The method also enables stress analysis of large layout regions and even full-chip layouts, without incurring the computation costs of a full TCAD simulation.
    Type: Grant
    Filed: March 25, 2013
    Date of Patent: November 4, 2014
    Assignee: Synopsys, Inc.
    Inventors: Victor Moroz, Dipankar Pramanik
  • Patent number: 8875063
    Abstract: A method for forming a mask layout is described. A plurality of phase shapes are formed on either side of a critical feature of a design layout of an intergrated circuit chip having a plurality of critical features. A plurality of transition edges are identified from the edges of each phase shape. Each transition edge is parallel to critical feature. A transition space is identified as defined by one of the group including two transition edges and one transition edge. A transition polygon is formed by closing each transition space with at least one closing edge. Each transition polygon is transformed into a printing assist feature. A mask layout is formed from the printing assist features and critical features.
    Type: Grant
    Filed: October 11, 2010
    Date of Patent: October 28, 2014
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Zachary Baum, Scott D. Halle, Henning Haffner
  • Patent number: 8869078
    Abstract: Roughly described, the invention includes layouts and masks for an integrated circuit, in which the diffusion shape for a transistor includes a transversely extending jog on one or both transversely opposite sides, the jog having inner and outer corners, at least one of which is located relative to the gate conductor longitudinally such that during lithographic printing of the diffusion shape onto the integrated circuit, the corner will round and extend at least partly into the channel region. The invention also includes aspects for a system and method for introducing such jogs, and for an integrated circuit device having a non-rectangular channel region, the channel region being wider where it meets the source region than at some other longitudinal position under the gate.
    Type: Grant
    Filed: April 9, 2014
    Date of Patent: October 21, 2014
    Assignee: Synopsys, Inc.
    Inventors: Victor Moroz, Munkang Choi, Xi-Wei Lin
  • Patent number: 8869076
    Abstract: Data associated with a substrate can be processed by measuring a property of at least a first type of specific features and a second type of specific features on a substrate. The first type of specific features is measured at a first plurality of locations on the substrate to generate a first group of measured values, and the second type of specific features is measured at a second plurality of locations on the substrate to generate a second group of measured values, in which the first and second groups of measured values are influenced by critical dimension variations of the substrate. A combined measurement function is defined based on combining the at least first and second groups of measured values. At least one group of measured values is transformed prior to combining with another group or other groups of measured values, in which the transformation is defined by a group of coefficients.
    Type: Grant
    Filed: October 5, 2011
    Date of Patent: October 21, 2014
    Assignee: Carl Zeiss SMS Ltd.
    Inventors: Vladimir Dmitriev, Ofir Sharoni
  • Patent number: 8869088
    Abstract: An embodiment of an interposer is disclosed. In such an embodiment, there is a first printed circuit region and a second printed circuit region. The second printed circuit region is proximate to the first printed circuit region with a seam region between the first printed circuit region and the second printed circuit region. The seam region includes a first die seal and a second die seal spaced apart from one another with a scribe line located between the first die seal and the second die seal.
    Type: Grant
    Filed: June 27, 2012
    Date of Patent: October 21, 2014
    Assignee: Xilinx, Inc.
    Inventor: Rafael C. Camarota
  • Patent number: 8869079
    Abstract: A semiconductor device includes: a plurality of line features including at least one real feature which includes a gate electrode portion, and at least one dummy feature. Two of multiple ones of the dummy feature, and at least one of the line features interposed between the two dummy features and including the at least one real feature form parallel running line features which are evenly spaced. The parallel running line features have an identical width, and line end portions of the parallel running line features are substantially flush. Line end portion uniformization dummy features are formed on extensions of the line end portions of the parallel running line features. The line end portion uniformization dummy features include a plurality of linear features each having a same width as each of the line features and spaced at intervals equal to an interval between each adjacent pair of the line features.
    Type: Grant
    Filed: January 29, 2013
    Date of Patent: October 21, 2014
    Assignee: Panasonic Corporation
    Inventors: Akio Misaka, Yasuko Tabata, Hideyuki Arai, Takayuki Yamada
  • Patent number: 8856693
    Abstract: A method and a computer system for designing an optical photomask for forming a prepattern opening in a photoresist layer on a substrate wherein the photoresist layer and the prepattern opening are coated with a self-assembly material that undergoes directed self-assembly to form a directed self-assembly pattern. The methods includes: generating a mask design shape from a target design shape; generating a sub-resolution assist feature design shape based on the mask design shape; using a computer to generate a prepattern shape based on the sub-resolution assist feature design shape; and using a computer to evaluate if a directed self-assembly pattern of the self-assembly material based on the prepattern shape is within specified ranges of dimensional and positional targets of the target design shape on the substrate.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: October 7, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, Kafai Lai, Wai-Kin Li, Young-Hye Na, Jed Walter Pitera, Charles Thomas Rettner, Daniel Paul Sanders, Da Yang
  • Patent number: 8852849
    Abstract: An electron beam lithography method and apparatus for improving throughput is disclosed. An exemplary lithography method includes receiving a pattern layout having a pattern layout dimension; shrinking the pattern layout dimension; and overexposing a material layer to the shrunk pattern layout dimension, thereby forming the pattern layout having the pattern layout dimension on the material layer.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: October 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jaw-Jung Shin, Shy-Jay Lin, Wen-Chuan Wang, Burn Jeng Lin
  • Patent number: 8850368
    Abstract: One or more techniques or systems for determining double patterning technology (DPT) layout routing compliance are provided herein. For example, a layout routing component of a system is configured to assign a pin loop value to a pin loop. In some embodiments, the pin loop value is assigned based on a mask assignment of a pin of the pin loop. In some embodiments, the pin loop value is assigned based on a number of nodes associated with the pin loop. DPT compliance or a DPT violation is determined for the pin loop based on the pin loop value. In this manner, odd loop detection associated with DPT layout routing is provided because a DPT violation results in generation of an additional instance of a net, for example. Detecting an odd loop allows a design to be redesigned before fabrication, where the odd loop would present undesired issues.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Huang-Yu Chen, Fang-Yu Fan, Yuan-Te Hou, Wen-Hao Chen, Chung-Hsing Wang, Yi-Kan Cheng
  • Patent number: 8850366
    Abstract: A method for making a mask for an integrated circuit (IC) design includes receiving an IC design layout having a plurality IC features and performing a targeted-feature-surrounding (TFS) checking operation to identify a targeted-feature-surrounding-location (TFSL) in the IC design layout. The method also includes inserting a phase-bar (PB) to the TFSL, performing an optical proximity correction (OPC) to the IC design layout having the PB to form a modified IC design layout and providing the modified IC design layout for fabrication of the mask.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ru-Gun Liu, Shuo-Yen Chou, Hoi-Tou Ng, Ken-Hsien Hsieh, Yi-Yin Chen
  • Patent number: 8843860
    Abstract: A method includes establishing an initial shot layout in which a number of shots are arranged in vertically aligned columns and horizontally aligned rows to cover a semiconductor wafer. At least one of a row of shots or a column of shots is shifted relative to an adjacent row or column of shots to establish at least one additional shot layout that differs from the initial shot layout in that shots in the at least one shifted row or column of shots are not aligned with the shots in the adjacent row or column of shots with which they were aligned in the initial shot layout. One of the initial shot layout and the at least one additional shot layout is selected as a final shot layout. The wafer is exposed to light using the final shot layout.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: September 23, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Ming Lin, Chia-hung Huang, Chi-Ming Yang, Chin-Hsiang Lin, Yung-Cheng Chen, Chih-Wei Lin
  • Patent number: 8839157
    Abstract: A target pattern is provided including a first pattern in a first region. A sensor pattern is inserted in the target pattern in the first region. A flare intensity of the sensor pattern in the first region is determined. A pattern bias is determined based on the flare intensity.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: September 16, 2014
    Assignee: Macronix International Co., Ltd.
    Inventors: Yi-Yien Tsai, Chao-Lung Lo, ChungTe Hsuan
  • Patent number: 8839158
    Abstract: A pattern designing method, including the steps of carrying out transfer simulation calculation and step simulation calculation by using physical layout data produced from circuit design data, and comparing a result of the transfer simulation calculation and the step simulation calculation with a preset standard; and carrying out calculation for electrical characteristics by using parameters obtained from the physical layout when as a result of the comparison, the preset standard is fulfilled, and carrying out calculation for the electrical characteristics by reflecting the result of the transfer simulation calculation and the step simulation calculation in the parameters when as the result of the comparison, the preset standard is not fulfilled, thereby extracting the parameters.
    Type: Grant
    Filed: August 19, 2011
    Date of Patent: September 16, 2014
    Assignee: Sony Corporation
    Inventor: Kyoko Izuha
  • Patent number: 8832610
    Abstract: One embodiment of a method for process window optimized optical proximity correction includes applying optical proximity corrections to a design layout, simulating a lithography process using the post-OPC layout and models of the lithography process at a plurality of process conditions to produce a plurality of simulated resist images. A weighted average error in the critical dimension or other contour metric for each edge segment of each feature in the design layout is determined, wherein the weighted average error is an offset between the contour metric at each process condition and the contour metric at nominal condition averaged over the plurality of process conditions. A retarget value for the contour metric for each edge segment is determined using the weighted average error and applied to the design layout prior to applying further optical proximity corrections.
    Type: Grant
    Filed: April 1, 2013
    Date of Patent: September 9, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Ye, Jiangwei Li, Stefan Hunsche
  • Patent number: 8826195
    Abstract: A method comprises providing a non-transitory, machine-readable storage medium storing a partial netlist of at least a portion of a previously taped-out integrated circuit (IC) layout, representing a set of photomasks for fabricating an IC having the IC layout such that the IC meets a first specification value. A computer identifies a proper subset of a plurality of first devices in the IC layout, such that replacement of the proper subset of the first devices by second devices in a revised IC layout satisfies a second specification value different from the first specification value. At least one layout mask is generated and stored in at least one non-transitory machine readable storage medium, accessible by a tool for forming at least one additional photomask, such that the set of photomasks and the at least one additional photomask are usable to fabricate an IC according to the revised IC layout.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: September 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Meng-Xiang Lee, Li-Chung Hsu, Shih-Hsien Yang, Ho Che Yu, King-Ho Tam, Chung-Hsing Wang
  • Patent number: 8826198
    Abstract: Model-Based Sub-Resolution Assist Feature (SRAF) generation process and apparatus are disclosed, in which an SRAF guidance map (SGM) is iteratively optimized to finally output an optimized set of SRAFs as a result of enhanced signal strength obtained by iterations involving SRAF polygons and SGM image. SRAFs generated in a prior round of iteration are incorporated in a mask layout to generate a subsequent set of SRAFs. The iterative process is terminated when a set of SRAF accommodates a desired process window or when a predefined process window criterion is satisfied. Various cost functions, representing various lithographic responses, may be predefined for the optimization process.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: September 2, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Min-Chun Tsai, Been-Der Chen, Yen-Wen Lu
  • Patent number: 8819600
    Abstract: Embodiments relate to polygon recovery from a +1/?1 description of a plurality of polygons of a very large scale integrated (VLSI) mask for production of a VLSI semiconductor device. An aspect includes receiving a set of data comprising the +1/?1 description of the plurality of polygons of the VLSI mask, the +1/?1 description comprising a plurality of corners. Another aspect includes determining a 4-directional data structure, a Mm value comprising a first limit value, and a Mp value comprising a second limit value for each of the plurality of corners. Another aspect includes recovering the plurality of polygons from the set of data by assigning each of the plurality of corners to a single polygon based on the 4-directional data structure, the Mm value, and the Mp value of each of the plurality of corners, and determining an order of the respective corners of each polygon.
    Type: Grant
    Filed: November 20, 2012
    Date of Patent: August 26, 2014
    Assignee: International Business Machines Corporation
    Inventors: Patrick Droz, Paul Hurley, Rajai Nasser, Joseph Paki
  • Patent number: 8813000
    Abstract: Manufacturing circuits with reference plane voids over vias with a strip segment interconnect permits routing critical signal paths over vias, while increasing via insertion capacitance only slightly. The transmission line reference plane defines voids above (or below) signal-bearing plated-through holes (PTHs) that pass through a rigid substrate core, so that the signals are not degraded by an impedance mismatch that would otherwise be caused by shunt capacitance from the top (or bottom) of the signal-bearing PTHs to the transmission line reference plane. In order to provide increased routing density, signal paths are routed over the voids, but disruption of the signal paths by the voids is prevented by including a conductive strip through the voids that reduces the coupling to the signal-bearing PTHs and maintains the impedance of the signal path conductor.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: August 19, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sungjun Chun, Anand Haridass, Roger D. Weekly
  • Patent number: 8812998
    Abstract: Described herein is a method for obtaining a preferred layout for a lithographic process, the method comprising: identifying an initial layout including a plurality of features; and reconfiguring the features until a termination condition is satisfied, thereby obtaining the preferred layout; wherein the reconfiguring comprises evaluating a cost function that measures how a lithographic metric is affected by a set of changes to the features for a plurality of lithographic process conditions, and expanding the cost function into a series of terms at least some of which are functions of characteristics of the features.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: August 19, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Tao, Been-Der Chen, Yen-Wen Lu, Jiangwei Li, Min-Chun Tsai, Dong Mao
  • Patent number: 8812999
    Abstract: A method comprises: (a) transforming a layout of a layer of an integrated circuit (IC) or micro electro-mechanical system (MEMS) to a curvilinear mask layout; (b) replacing at least one pattern of the curvilinear mask layout with a previously stored fracturing template having approximately the same shape as the pattern, to form a fractured IC or MEMS layout; and (c) storing, in a non-transitory storage medium, an e-beam generation file including a representation of the fractured IC or MEMS layout, to be used for fabricating a photomask.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: August 19, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ru-Gun Liu, Wen-Hao Cheng, Chih-Chiang Tu, Shuo-Yen Chou
  • Patent number: 8809958
    Abstract: Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes using a first mask to pattern a gate material forming a plurality of first and second features. The first features form gate electrodes of the semiconductor devices, whereas the second features are dummy electrodes. Based on the location of these dummy electrodes, selected dummy electrodes are removed using a second mask. The use of the method provides greater flexibility in tailoring individual devices for different objectives.
    Type: Grant
    Filed: November 15, 2011
    Date of Patent: August 19, 2014
    Assignee: Infineon Technologies AG
    Inventors: Henning Haffner, Manfred Eller, Richard Lindsay
  • Patent number: 8812997
    Abstract: An integrated circuit is formed using an lithographic process including a stage of forming a lithographic layer from a plurality of separately printed pattern layers. Within the integrated circuit there is formed a circuit including at least two devices that are matched devices such that the performance of the circuit is degraded if the match devices deviate from having matched performance characteristics. Dummy contacts 32 (structural features) are provided within the circuit design so as to force allocation of functional contacts (structural features) of the matched devices into the same pattern layer thereby reducing inter-device variation in contact position and/or size.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: August 19, 2014
    Assignee: ARM Limited
    Inventor: Gregory Munson Yeric
  • Patent number: 8806387
    Abstract: Systems and methods for process simulation are described. The methods may use a reference model identifying sensitivity of a reference scanner to a set of tunable parameters. Chip fabrication from a chip design may be simulated using the reference model, wherein the chip design is expressed as one or more masks. An iterative retuning and simulation process may be used to optimize critical dimension in the simulated chip and to obtain convergence of the simulated chip with an expected chip. Additionally, a designer may be provided with a set of results from which an updated chip design is created.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: August 12, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Yu Cao, Wenjin Shao, Ronaldus Johannes Gijsbertus Goossens, Jun Ye, James Patrick Koonmen
  • Patent number: 8806396
    Abstract: Disclosed is a method, system, and computer program product for performing predictions for an electronic design. Embodiments of the invention allow the ability to efficiently update the model predictions at a later time once previously incomplete blocks are completed. Predictions can be efficiently updated after block designs are updated (e.g. after correcting problems detected from model predictions).
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: August 12, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ming Liu, JenPin Weng, Taber Smith
  • Patent number: 8806389
    Abstract: Described herein is a method of processing a pattern layout for a lithographic process, the method comprising: identifying a feature from a plurality of features of the layout, the feature violating a pattern layout requirement; and reconfiguring the feature, wherein the reconfigured feature still violates the pattern layout requirement, the reconfiguring including evaluating a cost function that measures a lithographic metric affected by a change to the feature and a parameter characteristic of relaxation of the pattern layout requirement.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: August 12, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Taihui Liu, Been-Der Chen, Yen-Wen Lu
  • Patent number: RE45224
    Abstract: In an imaging recipe creating apparatus that uses a scanning electron microscope to create an imaging recipe for SEM observation of a semiconductor pattern, in order that the imaging recipe for measuring the wiring width and other various dimension values of the pattern from an observation image and thus evaluating the shape of the pattern is automatically generated within a minimum time by the analysis using the CAD image obtained by conversion from CAD data, an CAD image creation unit that creates the CAD image by converting the CAD data into an image format includes an image-quantizing width determining section, a brightness information providing section, and a pattern shape deformation processing section; the imaging recipe being created using the CAD image created by the CAD image creation unit.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: October 28, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Atsushi Miyamoto, Wataru Nagatomo, Ryoichi Matsuoka, Hidetoshi Morokuma, Takumichi Sutani