Semiconductor Vapor Doping Patents (Class 118/900)
  • Patent number: 8211229
    Abstract: A solid film-formation material feeding apparatus includes a supercritical fluid supply source for supplying supercritical fluid; and a column which is connected to the supercritical fluid supply source, and has a hollow part which is filled with a filler which is inactive for the supercritical fluid, wherein the hollow part can be further filled with a solid film-formation material which is soluble in the supercritical fluid. A column assembly which includes a plurality of the columns which may be connected in parallel to each other.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: July 3, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Hiroyuki Ode
  • Patent number: 7581335
    Abstract: The present invention provides a drying apparatus capable of satisfactorily drying a workpiece by using a dry vapor The drying apparatus has a control device for controlling a supply of a carrier gas and a supply of a dry vapor into a processing tank holding workpieces. A drying process carries out a carrier gas supply step of supplying the carrier gas and a mixed fluid supply step of supplying a mixed fluid prepared by mixing the carrier gas and the dry vapor alternately. A total mixed fluid supply time for which the mixed fluid supply step is executed is not shorter than 57% of a total processing time for which the carrier gas supply step and the mixed fluid supply step are executed.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: September 1, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Tanaka, Hidetoshi Nakao, Naoki Shindo, Atushi Yamashita, Tsukasa Hirayama, Kotaro Tsurusaki
  • Patent number: 7582162
    Abstract: A semiconductor device production system using a laser crystallization method is provided which can avoid forming grain boundaries in a channel formation region of a TFT, thereby preventing grain boundaries from lowering the mobility of the TFT greatly, from lowering ON current, and from increasing OFF current. Rectangular or stripe pattern depression and projection portions are formed on an insulating film. A semiconductor film is formed on the insulating film. The semiconductor film is irradiated with continuous wave laser light by running the laser light along the stripe pattern depression and projection portions of the insulating film or along the major or minor axis direction of the rectangle. Although continuous wave laser light is most preferred among laser light, it is also possible to use pulse oscillation laser light in irradiating the semiconductor film.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: September 1, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Atsuo Isobe, Shunpei Yamazaki, Koji Dairiki, Hiroshi Shibata, Chiho Kokubo, Tatsuya Arao, Masahiko Hayakawa, Hidekazu Miyairi, Akihisa Shimomura, Koichiro Tanaka, Mai Akiba
  • Patent number: 7097712
    Abstract: A multi-chamber system for providing a process of a high degree of cleanliness in fabricating semiconductor devices such as semiconductor integrated circuits. The system comprises a plurality of vacuum apparatus (e.g., a film formation apparatus, an etching apparatus, a thermal processing apparatus, and a preliminary chamber) for fabrication of semiconductor devices. At least one of these vacuum apparatuses is a laser.
    Type: Grant
    Filed: August 2, 1996
    Date of Patent: August 29, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Akira Takenouchi, Yasuhiko Takemura
  • Patent number: 7000636
    Abstract: The invention includes chemical vapor deposition methods, including atomic layer deposition, and valve assemblies for use with a reactive precursor in semiconductor processing. In one implementation, a chemical vapor deposition method includes positioning a semiconductor substrate within a chemical vapor deposition chamber. A first deposition precursor is fed to a remote plasma generation chamber positioned upstream of the deposition chamber, and a plasma is generated therefrom within the remote chamber and effective to form a first active deposition precursor species. The first species is flowed to the deposition chamber. During the flowing, flow of at least some of the first species is diverted from entering the deposition chamber while feeding and maintaining plasma generation of the first deposition precursor within the remote chamber. At some point, diverting is ceased while feeding and maintaining plasma generation of the first deposition precursor within the remote chamber.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: February 21, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Ross S. Dando, Gurtej S. Sandhu, Allen P. Mardian
  • Patent number: 6828235
    Abstract: It is an object of the present invention to adjust the transfer environment of a substrate in order to prevent contamination of the substrate surface by impurities. A semiconductor manufacturing apparatus comprises a load-lock chamber 1 in which substrate exchange with the outside is performed, a wafer process chamber 2 in which the wafer is subjected to a predetermined processing, and a transfer chamber 3 in which the wafer is transferred between the load-lock chamber 1 and the wafer process chamber 2. In a semiconductor manufacturing method in which this semiconductor manufacturing apparatus is used to treat a substrate, an inert gas (N2) is supplied to and exhausted from the load-lock chamber 1, the transfer chamber 3, and the wafer process chamber 2 while the substrate is being transferred from the load-lock chamber 1 to the wafer process chamber 2 through the transfer chamber 3, and the substrate transfer is carried out with a predetermined pressure maintained.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: December 7, 2004
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Satoshi Takano
  • Patent number: 6794291
    Abstract: An apparatus for processing a semiconductor wafer or similar article includes a reactor having a processing chamber formed by upper and lower rotors. The wafer is supported between the rotors. The rotors are rotated by a spin motor. A processing fluid is introduced onto the top or bottom surface of the wafer, or onto both surfaces, at a central location. The fluid flows outwardly uniformly and in all directions. A wafer support automatically lifts the wafer, so that it can be removed from the reactor by a robot, when the rotors separate from each other after processing.
    Type: Grant
    Filed: July 23, 2002
    Date of Patent: September 21, 2004
    Assignee: Semitool, Inc.
    Inventors: Steven L. Peace, Gary L. Curtis, Raymon F. Thompson, Brian Aegerter, Curt T. Dundas
  • Patent number: 6692613
    Abstract: A method for processing a semiconductor wafer or similar article includes the step of spinning the wafer and applying a fluid to a first side of the wafer, while it is spinning. The fluid flows radially outwardly in all directions, over the first side of the wafer, via centrifugal force. As the fluid flows off of the circumferential edge of the wafer, it is contained in an annular reservoir, so that the fluid also flows onto an outer annular area of the second side of the wafer. An opening allows fluid to flow out of the reservoir. The opening defines the location of a parting line beyond which the fluid will not travel on the second side of the wafer. An apparatus for processing a semiconductor wafer or similar article includes a reactor having a processing chamber formed by upper and lower rotors. The wafer is supported between the rotors. The rotors are rotated by a spin motor. A processing fluid is introduced onto the top or bottom surface of the wafer, or onto both surfaces, at a central location.
    Type: Grant
    Filed: August 20, 2002
    Date of Patent: February 17, 2004
    Assignee: Semitool, Inc.
    Inventors: Steven L. Peace, Gary L. Curtis, Raymon F. Thompson, Brian Aegerter, Curt T. Dundas
  • Patent number: 6680253
    Abstract: A system for processing a workpiece includes a base having a bowl or recess for holding a liquid. A process reactor or head holds a workpiece between an upper rotor and a lower rotor. A head lifter lowers the head holding the workpiece into contact with the liquid. The head spins the workpiece during or after contact with the liquid. The upper and lower rotors have side openings for loading and unloading a workpiece into the head. The rotors are axially moveable to align the side openings.
    Type: Grant
    Filed: July 16, 2001
    Date of Patent: January 20, 2004
    Assignee: Semitool, Inc.
    Inventors: Paul Z. Wirth, Steven L. Peace
  • Patent number: 6586343
    Abstract: A method and apparatus for directing a process gas through a processing apparatus, such as a vapor deposition chamber. The apparatus comprises a pumping plate for a processing chamber having an annular body member wherein said body member has a first portion and a second defining a circumferential edge and a central opening. The first portion comprises a sidewall of the circumferential edge having a plurality of circumferentially spaced through holes and the second portion has comprises a lateral portion that protrudes from the circumferential edge, such that, in a processing chamber, the first portion defines a first gas flow region comprising the central opening and a second gas flow region comprising the lateral portion of the second portion.
    Type: Grant
    Filed: July 9, 1999
    Date of Patent: July 1, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Henry Ho, Ying Yu, Steven A. Chen
  • Patent number: 6423642
    Abstract: A method for processing a semiconductor wafer or similar article includes the step of spinning the wafer and applying a fluid to a first side of the wafer, while it is spinning. The fluid flows radially outwardly in all directions, over the first side of the wafer, via centrifugal force. As the fluid flows off of the circumferential edge of the wafer, it is contained in an annular reservoir, so that the fluid also flows onto an outer annular area of the second side of the wafer. An opening allows fluid to flow out of the reservoir. The opening defines the location of a parting line beyond which the fluid will not travel on the second side of the wafer. An apparatus for processing a semiconductor wafer or similar article includes a reactor having a processing chamber formed by upper and lower rotors. The wafer is supported between the rotors. The rotors are rotated by a spin motor. A processing fluid is introduced onto the top or bottom surface of the wafer, or onto both surfaces, at a central location.
    Type: Grant
    Filed: November 10, 1999
    Date of Patent: July 23, 2002
    Assignee: Semitool, Inc.
    Inventors: Steven L. Peace, Gary L. Curtis, Raymon F. Thompson, Brian Aegerter, Curt T. Dundas
  • Patent number: 6410434
    Abstract: A LPCVD (Low Pressure Chemical Vapor Deposition) process is used for formation of a doped amorphous semiconductor film with in-situ doping of the semiconductor film on a plurality of semiconductor wafers with reduced defects and with predictable electrical characteristics. The plurality of semiconductor wafers are placed in a reaction chamber. The pressure within the reaction chamber is set to be less than approximately 1.0 Torr, and the temperature within the reaction chamber is set to a predetermined temperature in a range of from about 500° Celsius to about 550° Celsius. A semiconductor film reactant and a dopant reactant are introduced into the reaction chamber through at least two gas inlets. Each gas inlet is disposed on a respective location of the reaction chamber near the pluralty of semiconductor wafers, and each gas inlet carries both of the semiconductor film reactant and the dopant reactant.
    Type: Grant
    Filed: March 9, 2000
    Date of Patent: June 25, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Balaraman Mani
  • Patent number: 6333266
    Abstract: This invention relates to a manufacturing process for a semiconductor device, comprising injecting a silane compound and a dopant into a low-pressure chemical vapor deposition reactor to deposit a doped silicon film on a wafer; and at the end of the deposition, injecting an oxidizing gas to form an oxide film over the silicon film deposited in the reactor. According to this invention, anomalous growth or generation of foreign matters such as particles during a deposition step can be effectively minimized to improve a production yield and provide a high-quality and highly reliable semiconductor device.
    Type: Grant
    Filed: March 8, 1999
    Date of Patent: December 25, 2001
    Assignee: NEC Corporation
    Inventor: Satoru Sugiyama
  • Patent number: 6328865
    Abstract: There is disclosed a method and apparatus for forming a thin film of a composite metal compound. Independent targets formed of at least two different metals are sputtered so as to form on a substrate an ultra-thin film of a composite metal or an incompletely-reacted composite metal. The ultra-thin film is irradiated with the electrically neutral, activated species of a reactive gas so as to convert the composite metal or the incompletely-reacted composite metal to a composite metal compound through the reaction of the ultra-thin film with the activated species of the reactive gas. The formation of the ultra-thin film and the conversion to the composite metal compound are sequentially repeated so as to form on the substrate a thin film of the composite metal compound having a desired thickness.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: December 11, 2001
    Assignee: Shincron Co., Ltd.
    Inventors: Shigeharu Matsumoto, Kazuo Kikuchi, Masafumi Yamasaki, Qi Tang, Shigetaro Ogura
  • Patent number: 6328801
    Abstract: Provided is a novel method of and system for recovering and recirculating a deuterium-containing gas. According to the inventive method, a deuterium-containing feed gas is introduced into a chamber. An exhaust gas containing deuterium is removed from the chamber. The deuterium concentration of the exhaust gas is adjusted to a predetermined value, thereby producing a concentration-adjusted gas stream. Finally, the concentration-adjusted gas stream is introduced into the chamber as the deuterium-containing feed gas. The invention makes the use of deuterium, for example, in the mass production of semiconductor devices, commercially feasible.
    Type: Grant
    Filed: February 6, 1998
    Date of Patent: December 11, 2001
    Assignee: L'Air Liquide, Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Daniel Gary, Jean-Marc Girard, Jean-Christophe Rostaing, Jean-Marie Friedt
  • Patent number: 6287889
    Abstract: An improved gas phase synthesized diamond, CBN, BCN, or CN thin film having a modified region in which strain, defects, color and the like are reduced and/or eliminated. The thin film can be formed on a substrate or be a free-standing thin film from which the substrate has been removed. The thin film can be stably and reproducibly modified to have an oriented polycrystal structure or a single crystal structure. The thin film is modified by being subjected to and heated by microwave irradiation in a controlled atmosphere. The thin film has a modified region in which a line width of the diamond spectrum evaluated by Raman spectroscopy of 0.1 microns or greater is substantially constant along a film thickness direction of the thin film, and the line width of the modified region is 85% or less of a maximum line width of the residual portion of the film thickness.
    Type: Grant
    Filed: January 26, 2000
    Date of Patent: September 11, 2001
    Assignee: Applied Diamond, Inc.
    Inventors: Shoji Miyake, Shu-Ichi Takeda
  • Patent number: 4723507
    Abstract: A passageway which includes an annular region, the passageway adapted to isolate the gaseous contents of one of a pair of adjacent, vacuumized environments from the other of the pair while providing for the movement of a substrate therebetween.
    Type: Grant
    Filed: January 16, 1986
    Date of Patent: February 9, 1988
    Assignee: Energy Conversion Devices, Inc.
    Inventors: Herbert L. Ovshinsky, David A. Gattuso
  • Patent number: 4696716
    Abstract: Semiconductor rods are doped in a crucible-free, float-zone enclosure, by the introduction of dopant pellets into the float-zone. The dopant pellets are located in a hopper (7), mounted on the inside of the float-zone enclosure. The introduction of individual dopant pellets is controlled, through an actuating device (12), from the outside of the enclosure.
    Type: Grant
    Filed: May 20, 1986
    Date of Patent: September 29, 1987
    Assignee: Siemens Aktiengesellschaft
    Inventor: Wolfgang Keller
  • Patent number: 4615298
    Abstract: Substrates are disposed in a reaction chamber having a gas inlet and a gas outlet and a mixture gas containing at least a semiconductor material gas and a carrier gas is introduced into the reaction chamber in such a state in which a gas in the reaction chamber is exhausted therefrom. An electromagnetic field is applied to the mixture gas to ionize it into a mixture gas plasma in the reaction chamber, by which a semiconductor material is deposited on each substrate. In this case, the semiconductor material thus deposited on each substrate becomes a non-crystalline semiconductor by holding the atmospheric pressure in the reaction chamber below 1 atm and maintaining the substrate at a temperature lower than a temperature at which the semiconductor material on the substrate becomes crystallized.The reaction chamber is provided with a gas ionizing region on the side of the gas inlet and a semiconductor depositing region on the side of the gas outlet.
    Type: Grant
    Filed: June 12, 1984
    Date of Patent: October 7, 1986
    Inventor: Shunpei Yamazaki
  • Patent number: 4612207
    Abstract: Disclosed is an apparatus for affecting the preparation of thin film transistor arrays comprised of a chamber means with a gas inlet means, and a gas exhaust means, first rotatable polygon electrode means, second stationary counterelectrode means of cylindrical shape situated coaxially with the first electrode means, and substrate means to be coated present on the first polygon electrode means.
    Type: Grant
    Filed: January 14, 1985
    Date of Patent: September 16, 1986
    Assignee: Xerox Corporation
    Inventor: Frank Jansen
  • Patent number: 4510177
    Abstract: A plurality of wafers on which semiconductor films having a uniform thickness and specific resistivity are obtained by a horizontal type low pressure vapor phase deposition system, i.e., a system using a horizontal reaction tube, in which wafers are aligned in parallel and transverse to a longitudinal axis of the tube. A main gas is introduced from a main inlet into the reaction tube and an auxiliary gas including an impurity gas is introduced from an auxiliary inlet into the reaction tube in such a manner that the impurity gas diffuses toward the main inlet along an inner wall of the reaction tube.
    Type: Grant
    Filed: August 4, 1982
    Date of Patent: April 9, 1985
    Assignee: Fujitsu Limited
    Inventors: Yuji Furumura, Takeshi Nishizawa
  • Patent number: 4493287
    Abstract: To secure accurate control over rapid diffusion such as the diffusion of zinc into gallium arsenide, the source and slices to be processed are isolated from one another during an initial warm-up period. This is done using one vessel for the crystal slice and a second vessel for the source. The source vessel initially blocks an opening in the slice vessel while the source and slice are brought to the desired diffusion temperature. The source vessel is then slid through the opening to a diffusing position in which a trailing part of the source vessel again plugs the opening in the slice vessel and in which an open part of the source vessel is now in the interior of the slice vessel. Use of this arrangement avoids the uncontrolled diffusion which occurs in current diffusion capsules during initial heating of the capsule.
    Type: Grant
    Filed: December 3, 1982
    Date of Patent: January 15, 1985
    Assignee: Northern Telecom Limited
    Inventor: Anthony J. Springthorpe
  • Patent number: 4487162
    Abstract: A plasma arc discharge method for deposition of metallic and semiconductor layers on a substrate for the purpose of producing semiconductor grade materials such as silicon at a reduced cost. Magnetic fields are used so that silicon ions and electrons can be directed toward a target area where they are deposited. The ions and electrons are preferably injected as a compound in gaseous of liquid form but may also be injected in liquid elemental form or vaporized from a thermionic cathode. The magnetic fields include an accelerating magnetic field and a focusing magnetic field. The accelerating magnetic field is adjusted to support a desired high ion flux rate and the focusing magnet can control the plasma beam direction and divergence.The silicon provided in a compound form or in the form of metallurigical silicon is purified during the deposition process by a carrier substance which may be a part of the compound or separately injected.
    Type: Grant
    Filed: February 24, 1983
    Date of Patent: December 11, 1984
    Inventor: Gordon L. Cann
  • Patent number: 4480585
    Abstract: An external isolation module adapted to operatively interconnect at least one pair of a plurality of adjacent vacuum chambers through which a substrate travels and into which reaction materials are introduced. The external isolation module provides a passageway for the substrate between adjacent chambers while substantially preventing the diffusion of reaction materials from one of the chambers into the adjacent chamber. An accessible, environmentally-sealed, reaction material-isolating passageway is thereby formed.
    Type: Grant
    Filed: June 23, 1983
    Date of Patent: November 6, 1984
    Assignee: Energy Conversion Devices, Inc.
    Inventor: David A. Gattuso
  • Patent number: 4466380
    Abstract: This invention is directed to an apparatus for preparing semiconducting and photoelectronic devices comprised of a first electrode means, a second counter electrode means, a receptacle means for the first electrode means and the second counter electrode means, a substrate means to be coated contained on the first electrode means, which substrate is in the form of a cylindrical member, and a gas inlet means, a gas exhaust means, wherein a silane gas is introduced into the receptacle in a crossflow direction, perpendicular to the axis of the cylindrical member.
    Type: Grant
    Filed: January 10, 1983
    Date of Patent: August 21, 1984
    Assignee: Xerox Corporation
    Inventors: Frank Jansen, Joseph Mort
  • Patent number: 4462332
    Abstract: A magnetic gas gate adapted to operatively connect two adjacent dedicated chambers, in the first chamber of which a first layer is deposited upon a magnetic web of substrate material and in the second chamber of which a second layer is deposited onto the first layer. The first chamber has introduced thereinto gas constituents used to form the first layer while the second chamber (1) has introduced thereinto gas constituents used to form the second layer which constituents include at least one gas not introduced into the first chamber; and (2) is operatively associated with a mechanism for unidirectionally drawing the gases from the first chamber side of the gas gate toward the second chamber side of the gas gate. It is important that the second chamber gas constituents be substantially prevented from backflowing or diffusing through the gas gate to contaminate the gas constituents in the first chamber.
    Type: Grant
    Filed: April 29, 1982
    Date of Patent: July 31, 1984
    Assignee: Energy Conversion Devices, Inc.
    Inventors: Prem Nath, David A. Gattuso
  • Patent number: 4438723
    Abstract: The formation of a body of material on a substrate having at least two layers of different composition is made possible by the improved system and method of the present invention with minimized cross contamination between the respective deposition environments in which the layers are deposited. The disclosure relates more specifically to the use of the system and method for the deposition of multi-layered amorphous silicon alloys to form photovoltaic devices. As a preferred embodiment of the invention, first, second, and third glow discharge deposition chambers are provided for depositing respective first, second, and third amorphous silicon alloy layers on a substrate. The second layer is substantially intrinsic in conductivity and differs in composition from the first and third layers which are of opposite conductivity type by the absence of at least one element.
    Type: Grant
    Filed: September 28, 1981
    Date of Patent: March 27, 1984
    Assignee: Energy Conversion Devices, Inc.
    Inventors: Vincent D. Cannella, Masatsugu Izu, Stephen J. Hudgens
  • Patent number: 4426237
    Abstract: When growing GaAs by molecular beam epitaxy (MBE), a typical related reaction acts to affix Ga.sub.2 O.sub.3 to the growth surface and hence incorporates such oxide contaminants in the epitaxial layer as it is grown. Such contaminants may yield crystals of poor electrical and optical properties. When Al is added to the Ga source crucible, the Ga.sub.2 O flux is reduced substantially thereby suppressing the formation of such oxide contaminants and remove a serious constraint to MBE growth. When doping GaAs with Mg to form a p-type GaAs layer, unity Mg doping efficiency is achieved by including 0.1% Al in the Ga effusion cell. Such an inclusion of Al improves the Mg doping efficiency by suppressing the formation of MgO, and allows MBE growth at lower substrate temperatures and at higher growth rates.
    Type: Grant
    Filed: October 13, 1981
    Date of Patent: January 17, 1984
    Assignee: International Business Machines Corporation
    Inventors: John L. Freeouf, Peter D. Kirchner, George D. Pettit, Jerry M. Woodall
  • Patent number: 4355974
    Abstract: A wafer processing boat is provided with parallel slots that support each wafer at a slight angle with respect to vertical so that the wafers lean by gravity in a uniform manner and are thereby arranged in spaced parallel relationship. This enables the tolerances within the slots to be quite large with respect to the width of the wafer edges thus facilitating insertion and removal of the wafers while minimizing wafer damage and increasing wafer processing uniformity.
    Type: Grant
    Filed: November 24, 1980
    Date of Patent: October 26, 1982
    Assignee: ASQ Boats, Inc.
    Inventor: Eui-Wan Lee
  • Patent number: 4348981
    Abstract: A vertical type vapor-phase growth apparatus comprises a vapor-phase growth reactor constituted of an upper section defining an upper chamber having a lateral cross-section of about 200 cm.sup.2 and a lower section defining a lower chamber having a lateral cross-sectional area greater than, but smaller than four times the cross-sectional area of, the upper chamber, and a support for a semiconductor substrate disposed within the lower chamber. The support is in the form of a silicon plate on which a sample is directly placed.
    Type: Grant
    Filed: January 26, 1981
    Date of Patent: September 14, 1982
    Assignee: Tokyo Shibaura Denki Kabushiki Kaisha
    Inventors: Takatosi Nakanisi, Atsushi Tanaka, Takashi Udagawa
  • Patent number: 4290385
    Abstract: A vertical type vapor-phase growth apparatus comprises a vapor-phase growth reactor constituted of an upper section defining an upper chamber having a lateral cross-section of about 200 cm.sup.2 and a lower section defining a lower chamber having a lateral cross-sectional area greater than, but smaller than four times the cross-sectional area of, the upper chamber, and a support for a semiconductor substrate disposed within the lower chamber.
    Type: Grant
    Filed: July 5, 1979
    Date of Patent: September 22, 1981
    Assignee: Tokyo Shibaura Denki Kabushiki Kaisha
    Inventors: Takatosi Nakanisi, Atsushi Tanaka, Takashi Udagawa
  • Patent number: 4270972
    Abstract: In conjunction with the use of a float-zone crystal grower for doping silicon, a holder for temporarily storing pellets of solid dopant is disposed outside the housing of the crystal grower. A rotatable cylinder in the holder is provided with a plurality of chambers into which charges of varying amounts of dopant may be stored. A separate charge of dopant is propelled by inert gas under pressure into the melt zone of a silicon rod in the crystal grower upon the occurrence of a specified event such as passage of time or translation of the silicon rod. The apparatus and method are particularly applicable to doping with a highly volatile dopant such as indium.
    Type: Grant
    Filed: March 31, 1980
    Date of Patent: June 2, 1981
    Assignee: Rockwell International Corporation
    Inventor: Allen G. Crouse