With Means For Photochemical Energization Of A Gas Using Ultraviolet, Visible, Or X-ray Radiation Patents (Class 156/345.5)
  • Patent number: 11688600
    Abstract: A method for removing particles from a semiconductor wafer surface is disclosed. A liquid is placed on a surface of a semiconductor wafer on which particles may adhere. A light pulse is then applied to the surface of the semiconductor wafer through the liquid. The liquid containing the particles is then removed from the surface of the semiconductor wafer.
    Type: Grant
    Filed: December 3, 2021
    Date of Patent: June 27, 2023
    Assignee: PulseForge, Inc.
    Inventors: David Alex Rose, Kurt A. Schroder
  • Patent number: 11437161
    Abstract: An apparatus includes an extreme ultraviolet light source vessel having an intermediate focus, a scanner having a light source aperture, and a deflection module arranged between the intermediate focus and the light source aperture. The deflection module includes a first electrode plate and a second electrode plate, configured to create an electric field therebetween. Tin particles moving from the intermediate focus to the light source aperture passes through the deflection module, and are deflected by the electric field therein.
    Type: Grant
    Filed: April 28, 2021
    Date of Patent: September 6, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun-Lin Chang, Chieh Hsieh, Shang-Chieh Chien, Han-Lung Chang, Heng-Hsin Liu, Li-Jui Chen, Chin-Hsiang Lin
  • Patent number: 11402742
    Abstract: An EUV mask absorber formed on a semiconductor structure, includes, in part a sidewall forming am angle relative to a surface of the semiconductor structure that is less than 90 degrees. The sidewall includes a layer of reflective material. The semiconductor structure may include, in part, a multitude of layers. The semiconductor structure may be disposed on a glass substrate, a silicon substrate, or the like. The EUV mask absorber is adapted to shift a phase of the EUV light passing therethrough. The EUV mask absorber may further include, in part, a layer of Ruthenium near a bottom surface of the absorber structure. The EUV mask absorber may further includes, in part, a layer of reflective material near a top surface of the absorber structure. The EUV mask absorber may further include, in part, Tantalum Oxynitride.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: August 2, 2022
    Assignee: Synopsys, Inc.
    Inventors: Lawrence S. Melvin, III, Yudhishthir Prasad Kandel
  • Patent number: 11221561
    Abstract: An overlay control system is disclosed. In embodiments, the system may include a controller configured to: acquire a set of feedback overlay measurements based on a plan of record (POR) sampling map on a second layer of samples of at least one previous lot of samples; generate a reference wafer overlay map based on the set of feedback overlay measurements; acquire a set of feedforward overlay measurements based on a feedforward sampling map on a first layer of a set of samples of a current lot of samples; generate a set of artificial overlay vector maps for the set of samples of the current lot of samples based on the set of feedforward overlay measurements; and cause a lithography tool to fabricate a second layer of samples of the current lot of samples based on the reference wafer overlay map and the set of artificial overlay vector maps.
    Type: Grant
    Filed: January 14, 2020
    Date of Patent: January 11, 2022
    Assignee: KLA Corporation
    Inventors: Onur Nihat Demirer, Fatima Anis, Mark D. Smith
  • Patent number: 11164727
    Abstract: Processes for removing photoresist layer(s) from a workpiece, such as a semiconductor are provided. In one example implementation, a method for processing a workpiece can include supporting a workpiece on a workpiece support. The workpiece can have a photoresist layer and a low-k dielectric material layer. The method can include performing a hydrogen radical etch process on the workpiece to remove at least a portion of the photoresist layer. The method can also include exposing the workpiece to an ozone process gas to remove at least a portion of the photoresist layer.
    Type: Grant
    Filed: July 14, 2020
    Date of Patent: November 2, 2021
    Assignees: Beijing E-Town Semiconductor Technology Co., Ltd., Mattson Technology, Inc.
    Inventors: Ting Xie, Hua Chung, Bin Dong, Xinliang Lu, Haichun Yang, Michael X. Yang
  • Patent number: 10717155
    Abstract: A laser processing apparatus includes a cassette table for placing thereon a cassette in which a plurality of workpieces are accommodated, a carrying-out unit for carrying out the workpiece from the cassette placed on the cassette table, an X-axis direction moving unit for processing feed of a chuck table in the X-axis direction, a Y-axis direction moving unit for indexing feed of the chuck table in a Y-axis direction orthogonal to the X-axis direction, and a laser beam applying unit having a focusing unit for applying a laser beam to the workpiece held on the chuck table.
    Type: Grant
    Filed: December 12, 2017
    Date of Patent: July 21, 2020
    Assignee: DISCO CORPORATION
    Inventor: Hiroshi Nomura
  • Patent number: 10695862
    Abstract: A laser processing apparatus for processing a plate-shaped workpiece by applying a laser beam to the workpiece, includes a cassette table for placing thereon a cassette in which a plurality of workpieces are accommodated, a carrying-out unit for carrying out the workpiece from the cassette placed on the cassette table, and a laser beam applying unit including a focusing unit for applying the laser beam to the workpiece held on the chuck table. The carrying-out unit carries out the workpiece having been processed by the laser beam applying unit from the chuck table, and accommodates the workpiece into the cassette placed on the cassette table.
    Type: Grant
    Filed: December 12, 2017
    Date of Patent: June 30, 2020
    Assignee: DISCO CORPORATION
    Inventors: Hiroshi Nomura, Masahiro Tsukamoto
  • Patent number: 10639389
    Abstract: An at-home sterilization and data acquisition and deposition device including a housing having an opening or series of openings for receiving up to six medical devices, a sterilization chamber formed within the housing that is lined with sterilizing radiation reflecting material, and several sources of sterilizing radiation disposed within the sterilization chamber for sufficient emission of radiation to achieve a significant log reduction of any pathogens present on the medical devices, and an RFID scanner and sensor suite configured into the housing that prevents sterilization of non-system components, radiation emission into the environment, and that can pair with a user's mobile device.
    Type: Grant
    Filed: April 30, 2019
    Date of Patent: May 5, 2020
    Assignee: CathBuddy, Inc
    Inventors: Souvik Paul, Daniel Wollin
  • Patent number: 10262931
    Abstract: The present invention relates to a lateral via to provide an electrical connection to a buried conductor. In one instance, the buried conductor is a through via that extends along a first dimension, and the lateral via extends along a second dimension that is generally orthogonal to the first dimension. In another instance, the second dimension is oblique to the first dimension. Components having such lateral vias, as well as methods for creating such lateral vias are described herein.
    Type: Grant
    Filed: May 15, 2018
    Date of Patent: April 16, 2019
    Assignees: National Technology & Engineering Solutions of Sandia, LLC, Varioscale, Inc.
    Inventors: David P. Adams, Kira L. Fishgrab, Karl Douglas Greth, Michael David Henry, Jeffrey Stevens, V. Carter Hodges, Randy J. Shul, Ronald S. Goeke, Robert K. Grubbs, Scott Silverman
  • Patent number: 10167553
    Abstract: Outer distribution rings and gas distribution apparatus with outer distribution rings to deliver a gas flow to a process region of a process chamber are described. The outer distribution rings include at least one plenum in fluid communication with a plurality of openings forming a plurality of trenches to allow gas to flow from the plenum through the openings and down an inner peripheral face of the outer distribution ring.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: January 1, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Muhammad M. Rasheed, Ravi Jallepally, Ilker Durukan
  • Patent number: 9355544
    Abstract: A fault indication method for equipment includes receiving a fault signal indicative of a fault of a device; energizing a light emitter based on the received fault indication, in which a luminous material is made to fluoresce based on receipt of light emitted by the light emitter; detecting fluorescence of the luminous material by a light detector, and outputting a voltage and/or current indicative of the fluorescence; and providing a fault output signal when the voltage and/or current exceeds a predetermined value.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: May 31, 2016
    Assignee: Rockwell Collins, Inc.
    Inventors: Reginald D. Bean, Nathaniel P. Wyckoff, Brandon C. Hamilton
  • Patent number: 9158209
    Abstract: A method includes receiving a substrate having a material feature embedded in the substrate, wherein receiving the substrate includes receiving a first leveling data and a first overlay data generated when forming the material feature, deposing a resist film on the substrate, and exposing the resist film using a predicted overlay correction data to form a resist pattern overlying the material feature on the substrate, wherein using the predicted overlay correction data includes generating a second leveling data and calculating the predicted overlay correction data using the first leveling data, the first overlay data, and the second leveling data.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: October 13, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Li-Jui Chen, Fu-Jye Liang, Hung-Chang Hsieh
  • Patent number: 9028765
    Abstract: Porogen accumulation in a UV-cure chamber may be reduced by removing outgassed porogen by flowing a purge gas across a window through which a wafer is exposed to UV light. Porogens in the purge gas stream may, as they flow through the chamber and into an exhaust baffle, deposit on surfaces within the chamber, including on the exhaust baffle. The exhaust baffle may have particular features that cause such porogen deposition to be more uniformly distributed across the exhaust baffle, thus reducing the amount of time that may be required to fully clean the baffle of accumulated porogens during a cleaning process.
    Type: Grant
    Filed: August 23, 2013
    Date of Patent: May 12, 2015
    Assignee: Lam Research Corporation
    Inventors: Lisa Marie Gytri, Stephen Yu-Hong Lau, James Forest Lee
  • Patent number: 8986454
    Abstract: Embodiments of a window assembly are provided herein. In some embodiments, a window assembly for use in a substrate processing system comprising a first window at least partially transparent to light energy; a second window transparent to light energy and substantially parallel to the first window; and a separator disposed proximate the peripheral edges of the first and second windows and defining a sealed gap between the first and second windows, wherein the separator has an inlet and outlet to flow a gas through the sealed gap. In some embodiments, one or more support elements are disposed in the sealed gap to maintain a substantially uniform gap distance between the first and second windows. In some embodiments, a plurality of light adjusting elements are disposed in the gap to adjust one or more properties of light energy that passes through the light adjusting element.
    Type: Grant
    Filed: May 20, 2011
    Date of Patent: March 24, 2015
    Assignee: Applied Materials, Inc.
    Inventor: David K. Carlson
  • Patent number: 8945412
    Abstract: A substrate cleaning apparatus is capable of cleaning an entire periphery of a substrate end portion at a time by simple control without polishing the end portion and without generating plasma. The substrate cleaning apparatus has a mounting table 204 on which a wafer W is placed, a heating unit 210 for heating a wafer end portion, ultraviolet application unit 220 for applying ultraviolet to the wafer end portion, and a gas flow forming unit 230 for forming a gas flow on the surface of the wafer end portion. The heating unit, the ultraviolet application unit, and the gas flow forming unit are disposed near the wafer end portion so as to surround the wafer.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kawamura, Teruyuki Hayashi
  • Patent number: 8911553
    Abstract: Embodiments of the invention generally provide apparatuses and methods for controlling the gas flow profile within a processing chamber. In one embodiment, a processing tool includes an ultraviolet processing chamber defining a processing region, a substrate support, a window disposed between a UV radiation source and the substrate support, and a transparent showerhead disposed within the processing region between the window and the substrate support and having one or more transparent showerhead passages between upper and lower processing regions. The processing tool also includes a gas distribution ring having one or more gas distribution ring passages between a gas distribution ring inner channel and the upper processing region and a gas outlet ring positioned below the gas distribution ring, the gas outlet ring having one or more gas outlet passages between a gas outlet ring inner channel within the gas outlet ring and the lower processing region.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Sanjeev Baluja, Juan Carlos Rocha-Alvarez, Alexandros T. Demos, Thomas Nowak, Jianhua Zhou
  • Patent number: 8847182
    Abstract: The invention relates to extreme ultraviolet “EUV” radiation generating systems that include a vacuum chamber where a target material can be positioned at a target position for generation of EUV radiation, and a beam guiding chamber for guiding a laser beam from a driver laser device towards the target position. The EUV radiation generating apparatus includes an intermediate chamber which is arranged between the vacuum chamber and the beam guiding chamber, a first window which seals the intermediate chamber in a gas-tight manner for entry of the laser beam from the beam guiding chamber and a second window which seals the intermediate chamber in a gas-tight manner for exit of the laser beam into the vacuum chamber. The invention also relates to a method for operating the EUV radiation generating apparatus.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: September 30, 2014
    Assignee: TRUMPF Laser— und Systemtechnik GmbH
    Inventors: Martin Lambert, Andreas Enzmann
  • Publication number: 20140256138
    Abstract: A method for removing photoresist residue includes etching a photoresist layer disposed over a front side of a semiconductor substrate during fabrication of a semiconductor device, and exposing at least one of the front side and the back side of the semiconductor substrate to an atmosphere comprising active oxygen. The method further includes cleaning at least one of the front side and the back side of the semiconductor substrate with a cleaning fluid.
    Type: Application
    Filed: March 5, 2013
    Publication date: September 11, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Mu-Chen Chen, Yi-Tse HUANG, Wei-Fan LIAO, Han-Ti HSIAW, Chia-I SHEN
  • Patent number: 8795434
    Abstract: A method and apparatus for mass production of graphene and carbon tubes is presented. A carbon-containing gas (CCG) inside a set of thin gaps formed by an array of flat plates, or small multiple bores in a cylindrical shell, is maintained under free molecular conditions at all times. A train of intermittent light pulses of a tunable high power laser beam compatible with the CCG's major absorption bands is sent through the CCG inside the gaps, or bores, to cause dissociation of the carbon atoms from the CCG molecules in said molecules' one mean free path of flight and deposition of said atoms onto the adjacent solid surfaces (plate or bore walls) during each pulse, and after a pre-determined number of pulses to form a one-atom-thick layer of hexagonal lattice of carbon atoms. Said carbon atom layers on the flat plate surfaces are graphene, those on the shell bore walls carbon tubes. Large quantity and size, and predicted high quality of products are special features of this method.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: August 5, 2014
    Inventor: Jaw Tian Lin
  • Patent number: 8734663
    Abstract: A method for removing species from a substrate includes arranging a purge ring in a chamber proximate to a pedestal. The purge ring includes an inlet portion and an exhaust portion. The inlet portion defines an inlet plenum and an inlet baffle. The inlet baffle includes a continuous slit that is substantially continuous around a peripheral arc not less than about 270°. The exhaust portion includes an exhaust channel that is located substantially opposite the inlet baffle. The method further includes supplying ozone to the inlet plenum; at least partially defining a ring hole space having a periphery using the inlet portion and the exhaust portion; conveying gas from the inlet plenum into the ring hole space using the inlet baffle; conveying gas and other matter out of a purge space using the exhaust portion; and inhibiting deposition of material evolved from the substrate during curing using the purge ring.
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: May 27, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Eugene Smargiassi, Stephen Yu-Hong Lau, George D. Kamian, Ming Xi
  • Publication number: 20140054267
    Abstract: An improved method for laser processing that prevents material redeposition during laser ablation but allows material to be removed at a high rate. In a preferred embodiment, laser ablation is performed in a chamber filled with high pressure precursor (etchant) gas so that sample particles ejected during laser ablation will react with the precursor gas in the gas atmosphere of the sample chamber. When the ejected particles collide with precursor gas particles, the precursor is dissociated, forming a reactive component that binds the ablated material. In turn, the reaction between the reactive dissociation by-product and the ablated material forms a new, volatile compound that can be pumped away in a gaseous state rather than redepositing onto the sample.
    Type: Application
    Filed: August 19, 2013
    Publication date: February 27, 2014
    Applicant: FEI Company
    Inventors: Milos Toth, Marcus Straw
  • Publication number: 20130344685
    Abstract: A processing method for etching a workpiece is disclosed. While etching gas is supplied into an etching chamber in which a workpiece held on a holding face of a holding table is accommodated, a laser beam of a wavelength having a transparency through the holding table and the workpiece is irradiated upon the workpiece from the opposite side to the holding face of the holding table such that the focal point of the laser beam is positioned in the inside of a processing region of the workpiece to excite the processing region to induce etching.
    Type: Application
    Filed: June 25, 2013
    Publication date: December 26, 2013
    Inventor: Keiji NOMARU
  • Patent number: 8536550
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: September 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Patent number: 8525139
    Abstract: A wafer is provided into an entrance load lock chamber. A vacuum is created in the entrance load lock chamber. The wafer is transported to a processing tool. The wafer is processed in a process chamber to provide a processed wafer, wherein the processing forms halogen residue. A degas step is provided in the process chamber after processing the wafer. The processed wafer is transferred into a degas chamber. The processed wafer is treated in the degas chamber with UV light and a flow of gas comprising at least one of ozone, oxygen, or H2O. The flow of gas is stopped. The UV light is stopped. The processed wafer is removed from the degas chamber.
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: September 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Harmeet Singh, Sanket Sant, Shang-I Chou, Vahid Vahedi, Raphael Casaes, Seetharaman Ramachandran
  • Publication number: 20130160946
    Abstract: An apparatus for purging a space in a processing chamber comprises a source of a purge gas; an inlet portion of a purge ring; an inlet baffle located in the inlet portion and fluidically connected to the source of purge gas; and an exhaust portion of the purge ring. The inlet portion and the exhaust portion define a ring hole space having a 360° periphery. The inlet baffle preferably surrounds not less than 180° of said periphery. The inlet baffle is operable to convey purge gas into the ring hole space. The exhaust portion is operable to convey purge gas and other matter out of the ring hole space. Cleaning of the purge ring and other structures in a processing chamber is conducted by flowing a cleaning gas through the inlet baffle. Some embodiments include a gas inlet plenum and an exhaust channel but not a purge ring.
    Type: Application
    Filed: July 31, 2012
    Publication date: June 27, 2013
    Applicant: Novellus Systems, Inc.
    Inventors: Eugene Smargiassi, Stephen Yu-Hong Lau, George D. Kamian, Ming Xi
  • Patent number: 8434423
    Abstract: Disclosed is a substrate carrying apparatus having a simple configuration capable of inhibiting the occurrence of pattern collapse. A carrying tray of the disclosed substrate carrying apparatus includes a bottom plate for supporting the substrate and a circumferential side wall being provided around the bottom plate. An opening is formed in the bottom plate. An elevating member, to and from which the substrate is to be transferred, passes through the opening. A space is temporarily formed in a carrying tray. The elevating member within the opening passes to the outside of the carrying tray through the space. When the substrate is carried, the liquid is reservoired within the carrying tray, and the substrate is carried while the liquid remained on the upper surface of the substrate.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: May 7, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Toshima, Kazuo Terada
  • Patent number: 8398816
    Abstract: Porogen accumulation in a UV-cure chamber is reduced by removing outgassed porogen through a heated outlet while purge gas is flowed across a window through which a wafer is exposed to UV light. A purge ring having specific major and minor exhaust to inlet area ratios may be partially made of flame polished quartz to improve flow dynamics. The reduction in porogen accumulation allows more wafers to be processed between chamber cleans, thus improving throughput and cost.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: March 19, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Lisa Gytri, Jeff Gordon, James Lee, Carmen Balderrama, Joseph Brett Harris
  • Patent number: 8354657
    Abstract: In an extreme ultra violet light source apparatus of a laser produced plasma type, charged particles such as ions emitted from plasma are promptly ejected to the outside of a chamber. The apparatus includes a chamber, a target supply unit for supplying a target material into the chamber, a collector mirror for collecting extreme ultra violet light radiated from plasma generated by irradiating the target material with a laser beam to output the extreme ultra violet light, an electromagnet arranged outside of the chamber, and a charged particle collection mechanism provided on at least one of two surfaces of the chamber to which lines of magnetic force generated by the electromagnet extend.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: January 15, 2013
    Assignees: Gigaphoton Inc., Osaka University
    Inventors: Georg Soumagne, Yoshifumi Ueno, Hiroshi Komori, Akira Sumitani, Katsunobu Nishihara, Young Gwang Kang, Masanori Nunami
  • Patent number: 8298372
    Abstract: Methods and apparatus for providing a process gas to a substrate in a processing system are disclosed herein. In some embodiments, the substrate processing system may include a process chamber having a substrate support disposed therein; a light source disposed above the process chamber to direct energy towards the substrate support; and a window assembly disposed between the light source and the substrate support to allow light energy provided by the light source to enter the process chamber towards the substrate support, wherein the window assembly includes an inlet to receive a process gas and one or more outlets to distribute the process gas into the process chamber.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: October 30, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Tae Jung Kim, Martin Ripley
  • Publication number: 20120247504
    Abstract: An apparatus is used for removing contaminants from a surface and includes a chamber filled with a clean process gas, a surface positioning device, a carbon dioxide snow spray nozzle, a laser beam generator and focusing device and a process gas nozzle. The nozzles and a focal point of the laser beam are linearly aligned. The surface is held at a desired position and bombarded with carbon dioxide snow and with a high pressure wave to release the contaminants from the surface whereupon the released materials are swept to one side of the surface by a jet of the process gas. The process may proceed with point to point contamination removal based on prior surface examination and discovery of contamination sites, or may be scanned with essentially continuous contamination removal.
    Type: Application
    Filed: March 25, 2011
    Publication date: October 4, 2012
    Inventors: Waleed Nasr, Khaled Nasr
  • Patent number: 8232538
    Abstract: A method and apparatus for removing halogen residue from a processed wafer is provided. A wafer is transferred into a processing tool where it is processed in a manner that leaves halogen residue on the wafer. The processed wafer is then moved into a degas chamber where it is treated with UV light and a gas mixture containing at least one of ozone and oxygen to remove the halogen residue. Once treated, the wafer is transferred into an isolation station where it is isolated from the unprocessed wafers for a period of time to allow any remaining residue to dissipate before it is returned to the cassette where it started.
    Type: Grant
    Filed: October 27, 2009
    Date of Patent: July 31, 2012
    Assignee: Lam Research Corporation
    Inventors: Sanket Sant, Shang-I Chou
  • Publication number: 20120175063
    Abstract: A substrate processing apparatus capable of removing deposits attached on a component of a lower temperature in a gap between two components, temperatures of which are greatly different from each other, without degrading a working ratio of the substrate processing apparatus. In the substrate processing apparatus, a chamber receives a wafer, a focus ring surrounds the wafer disposed in the chamber, a side surface protective member transmits a laser beam, a laser beam irradiating apparatus irradiates the laser beam to the side surface protective member, an inner focus ring of the focus ring is disposed adjacent to the wafer and is cooled down and an outer focus ring surrounds the inner focus ring and is not cooled down in a focus ring, and a facing surface of the side surface protective member faces a gap between the inner focus ring and the outer focus ring.
    Type: Application
    Filed: January 5, 2012
    Publication date: July 12, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun YAMAWAKU, Chishio KOSHIMIZU
  • Patent number: 8163129
    Abstract: In a method and an apparatus for cleaning a substrate using a laser beam, an inner chamber is disposed in a process chamber to define a space in which a laser-induced shock wave is generated. The laser beam is focused on a laser focus positioned in the inner chamber, and thus the laser-induced plasma shock wave is generated around the laser focus. The plasma shock wave is reflected from inner surfaces of the inner chamber and is irradiated on the substrate through a lower portion of the inner chamber. As a result, the intensity of the plasma shock wave irradiated on the substrate is increased, and thus the contaminants on the substrate may be effectively removed.
    Type: Grant
    Filed: October 1, 2008
    Date of Patent: April 24, 2012
    Assignee: Semes Co., Ltd.
    Inventor: Se-Won Lee
  • Patent number: 8075732
    Abstract: A method and apparatus that may comprise an EUV light producing mechanism utilizing an EUV plasma source material comprising a material that will form an etching compound, which plasma source material produces EUV light in a band around a selected center wavelength comprising: an EUV plasma generation chamber; an EUV light collector contained within the chamber having a reflective surface containing at least one layer comprising a material that does not form an etching compound and/or forms a compound layer that does not significantly reduce the reflectivity of the reflective surface in the band; an etchant source gas contained within the chamber comprising an etchant source material with which the plasma source material forms an etching compound, which etching compound has a vapor pressure that will allow etching of the etching compound from the reflective surface. The etchant source material may comprises a halogen or halogen compound.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: December 13, 2011
    Assignee: Cymer, Inc.
    Inventors: William N. Partlo, Richard L. Sandstrom, Igor V. Fomenkov, Alexander I. Ershov, William Oldham, William F. Marx, Oscar Hemberg
  • Patent number: 7935218
    Abstract: An optical apparatus includes an illumination system configured to form a pulsed radiation beam, an optical element with a surface on which the radiation beam is incident in operation, and a gas source arranged to supply a mixture of a first type of gas and a second type of gas to a space adjacent the surface. Particles of the first and second types of gas are capable of reacting with the surface, when activated by the radiation beam. The gas source is configured to generate a combination of surface occupation numbers of molecules of the first and second types of gas on the surface under operating conditions, at least prior to pulses of the radiation beam, the combination of surface occupation numbers lying in a range in which reactions of particles with the surface during pulses of the radiation beam are in majority reversed.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: May 3, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Hubertus Josephina Moors, Vadim Yevgenyevich Banine, Bastiaan Theodoor Wolschrijn, Carolus Ida Maria Antonius Spee, Rik Jansen
  • Publication number: 20110083696
    Abstract: An apparatus and method for cleaning the surface of a substrate using laser-induced plasma shockwaves and ultraviolet radiation is described. After defects such as organic, inorganic and metallic particles are detected during an inspection step, the substrate is mounted on a motorized stage inside a cleaning chamber. A laser beam is focused into a laser-cleaning nozzle within the chamber. The laser energy generates a laser-induced plasma shockwave inside the nozzle. The shockwave is amplified and exits the nozzle generating the necessary force to overcome the adhesion bond of the defects with the substrate. Coordinating defect locations from the preliminary inspection step the substrate is actively positioned only where defects are present for selective removal.
    Type: Application
    Filed: October 1, 2010
    Publication date: April 14, 2011
    Inventors: Waleed Nasr, Khaled Nasr
  • Patent number: 7872245
    Abstract: Devices are disclosed herein which may comprise an EUV reflective optic having a surface of revolution that defines a rotation axis and a circular periphery. The optic may be positioned to incline the axis at a nonzero angle relative to a horizontal plane, and to establish a vertical projection of the periphery in the horizontal plane with the periphery projection bounding a region in the horizontal plane. The device may further comprise a system delivering target material, the system having a target material release point that is located in the horizontal plane and outside the region, bounded by the periphery projection and a system generating a laser beam for irradiating the target material to generate an EUV emission.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: January 18, 2011
    Assignee: Cymer, Inc.
    Inventors: Georgiy O. Vaschenko, Alexander N. Bykanov, Norbert R. Bowering, David C. Brandt, Alexander I. Ershov, Rodney D. Simmons, Oleh V. Khodykin, Igor V. Fomenkov
  • Publication number: 20100112483
    Abstract: A system and a method for self-aligned dual patterning are described. The system includes a platform for supporting a plurality of process chambers. An etch process chamber coupled to the platform. An ultra-violet radiation photo-resist curing process chamber is also coupled to the platform.
    Type: Application
    Filed: October 30, 2008
    Publication date: May 6, 2010
    Inventor: CHRISTOPHER Siu Wing Ngai
  • Patent number: 7705333
    Abstract: In an extreme ultra violet light source apparatus that exhausts debris including fast ions and neutral particles by the effect of a magnetic field, neutral particles emitted from plasma are efficiently ionized. The extreme ultra violet light source apparatus includes a plasma generating unit that generates plasma, that radiates at least extreme ultra violet light, through pulse operation; collective optics that collects the extreme ultra violet light radiated from the plasma; a microwave generating unit that radiates microwave through pulse operation into a space in which a magnetic field is formed to cause electron cyclotron resonance, and thereby ionizes neutral particles emitted from the plasma; a magnetic field forming unit that forms the magnetic field and a magnetic field for trapping at least ionized particles; and a control unit that synchronously controls at least the plasma generating unit and the microwave generating unit.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: April 27, 2010
    Assignees: Komatsu Ltd., Gigaphoton Inc.
    Inventors: Hiroshi Komori, Akira Endo
  • Publication number: 20100025223
    Abstract: Offset in the ejection direction of target material droplets is corrected in order to stabilize EUV output in an EUV light source device. An extreme ultraviolet light source device includes a droplet generation device 110 that outputs target material droplets 101 towards a predetermined plasma emission point 103; a charging device 130 that charges the target material droplets 101; a trajectory correction device 140 that generates a force field in the trajectory to correct the travel direction of the charged target material droplets 101a so that the charged target material droplets 101a travel towards the plasma emission point 103; and a laser light source 150 that irradiates, at the plasma emission point 103, a laser beam onto the charged target material to generate plasma thereby.
    Type: Application
    Filed: August 4, 2009
    Publication date: February 4, 2010
    Applicants: Komatsu Ltd., Gigaphoton Inc.
    Inventors: Tatsuya Yanagida, Masaki Nakano, Akira Endo
  • Publication number: 20100024980
    Abstract: An EUV light source is disclosed that may include a laser source, e.g. CO2 laser, a plasma chamber, and a beam delivery system for passing a laser beam from the laser source into the plasma chamber. Embodiments are disclosed which may include one or more of the following; a bypass line may be provided to establish fluid communication between the plasma chamber and the auxiliary chamber, a focusing optic, e.g. mirror, for focusing the laser beam to a focal spot in the plasma chamber, a steering optic for steering the laser beam focal spot in the plasma chamber, and an optical arrangement for adjusting focal power.
    Type: Application
    Filed: October 5, 2009
    Publication date: February 4, 2010
    Applicant: Cymer, Inc.
    Inventors: Alexander I. Ershov, William N. Partlo, Norbert Bowering, Bjorn Hansson
  • Patent number: 7612353
    Abstract: A lithographic apparatus includes a radiation system including a radiation source for the production of a radiation beam, and a contaminant trap arranged in a path of the radiation beam. The contaminant trap includes a plurality of foils or plates defining channels that are arranged substantially parallel to the direction of propagation of said radiation beam. The foils or plates can be oriented substantially radially with respect to an optical axis of the radiation beam. The contaminant trap can be provided with a gas injector which is configured to inject gas at least at two different positions directly into at least one of the channels of the contaminant trap.
    Type: Grant
    Filed: July 6, 2006
    Date of Patent: November 3, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Leonid Aizikovitch Sjmaenok, Vadim Yevgenyevich Banine, Josephus Jacobus Smits, Lambertus Adrianus Van Den Wildenberg, Alexander Alexandrovitch Schmidt, Arnoud Cornelis Wassink, Eric Louis Willem Verpalen, Antonius Johannes Van De Pas, Paul Peter Anna Antonius Brom
  • Patent number: 7566368
    Abstract: The present invention presents an improved upper electrode for a plasma processing system, wherein the design and fabrication of an electrode plate coupled to an upper assembly advantageously provides gas injection of a process gas with substantially minimal erosion of the electrode plate.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: July 28, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Hidehito Saigusa, Taira Takase, Kouji Mitsuhashi, Hiroyuki Nakayama
  • Patent number: 7514015
    Abstract: A system for removing photoresist from semiconductor wafers is disclosed. The system utilizes a solid-state laser having wavelengths in the near-visible and visible portions of the electromagnetic spectrum to remove photoresist without requiring hazardous gases or wet solutions. In addition, the system does not damage the substrate being cleaned, nor leave a carbon residue requiring further processing to remove. The system uses photon energy, oxygen, water vapor and ozone to interact with contaminants on a surface, forming a gas reaction zone (GRZ). The GRZ reacts and completely removes the photoresist or other unwanted contamination.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: April 7, 2009
    Assignee: UVTech Systems
    Inventors: David J. Elliott, Ronald P. Millman, Jr., Murray Tardif, Krista Aiello
  • Publication number: 20080277061
    Abstract: An object of the present invention is to provide a wafer edge cleaner which is capable of removing an undesired material that adheres to an outer periphery of an object to be processed at the low costs and with high throughput. The wafer edge cleaner according to the present invention irradiates a deposited material that has adhered to the rear surface outer periphery of the object to be processed with a laser beam that is at least 30 kW/mm2 in the peak power density.
    Type: Application
    Filed: August 8, 2007
    Publication date: November 13, 2008
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Masaru Izawa, Kenetsu Yokogawa
  • Patent number: 7442274
    Abstract: A fluorine-containing compound gas, e.g., SF6 gas, is converted into a plasma and a silicon portion of an object to be processed is etched by the plasma. At the same time, using a light source having a peak intensity of light in a wavelength range of light absorption of a reaction product, e.g., SiF4, for which, to be more precise, ranges from 9 ?m to 10 ?m, the light is irradiated onto a surface of an object to be processed from the light source. The SiF4 molecules absorb the light, become activated and gain kinetic energy to be used in gaining an easy escape from a hole. As a consequence, an amount (a partial pressure) of fluorine radicals (F*) used as an etchant is increased and an etching rate of a silicon is increased.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: October 28, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Koji Maruyama
  • Patent number: 7435983
    Abstract: Device for injecting electromagnetic radiation into a reactor and a reactor comprising this device A device for injecting electromagnetic radiation into a reactor for carrying out free-radical gas-phase reactions and a reactor comprising such a device are described. This comprises the elements: a) a compartment which is separated from the reaction space of the reactor and is b) connected to the reaction space of the reactor via at least one opening, c) at least one feed line for introducing a flushing gas into the compartment, and also d) at least one source of electromagnetic radiation which is arranged so that e) the electromagnetic radiation passes through the compartment and the reaction space of the reactor adjoining the compartment. The device and reactor make an increase in the yield of free-radical chain reactions possible.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: October 14, 2008
    Assignee: Uhde GmbH
    Inventor: Michael Benje
  • Publication number: 20080224115
    Abstract: The method of fabricating a set of semiconducting nanowires (10) having a desired wire diameter (d) comprises the steps of providing a set of pre-fabricated semiconducting nanowires (10?), at least one pre-fabricated semiconducting nanowire having a wire diameter (d?) larger than the desired wire diameter (d), and reducing the wire diameter of the at least one pre-fabricated nanowire (10?) by etching, the etching being induced by light which is absorbed by the at least one pre-fabricated nanowire (10?), a spectrum of the light being chosen such that the absorption of the at least one pre-fabricated nanowire being significantly reduced when the at least one pre-fabricated nanowire reaches the desired wire diameter (d). The electric device (100) may comprise a set of nanowires (10) having the desired wire diameter (d). The apparatus (29) may be used to execute the method according to the invention.
    Type: Application
    Filed: December 3, 2004
    Publication date: September 18, 2008
    Inventors: Erik Petrus Antonius Maria Bakkers, Louis Felix Feiner, Abraham Rudolf Balkenende
  • Patent number: 7332731
    Abstract: A radiation system for providing a projection beam of radiation is disclosed. The radiation system includes an extreme ultraviolet source for providing extreme ultra violet radiation, and a contamination barrier that includes a plurality of closely packed foil plates for trapping contaminant material coming from the radiation source. The contamination barrier encloses the extreme ultraviolet radiation source.
    Type: Grant
    Filed: December 6, 2005
    Date of Patent: February 19, 2008
    Assignee: Asml Netherlands, B.V.
    Inventors: Derk Jan Wilfred Klunder, Maarten Marinus Johannes Wilhelmus Van Herpen
  • Patent number: 7323060
    Abstract: A forward direction-only path (first substrate transport path) is formed for transporting substrates in a forward direction to pass the substrates on to an exposing apparatus. A separate, substrate transport path (second substrate transport path) is formed exclusively for post-exposure bake (PEB). Substrate transport along each path is carried out independently of substrate transport along the other. A fourth main transport mechanism is interposed as a predetermined substrate transport mechanism between transfer points consisting of a buffer acting as a temporary storage module for temporarily storing the substrates and a post-exposure bake (PEB) unit corresponding to a predetermined treating unit. This arrangement forms the path for transporting the substrates between the buffer and the PEB unit, to allow PEB treatment of the substrates to be performed smoothly. Similarly, the substrates are transported smoothly to the buffer.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: January 29, 2008
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yoshihisa Yamada, Masafumi Maeda, Takashi Taguchi