Transporting Means Carries Load To At Least One Of A Plurality Of Fixed Stations Patents (Class 414/222.13)
  • Patent number: 11948822
    Abstract: A substrate transfer device includes: a planar motor provided in a transfer chamber and including an array of coils, a transfer unit configured to move above the planar motor, and a controller configured to control supply of a current to the array of the coils, wherein the transfer unit includes a first base including an array of first magnets and configured to move above the planar motor, a second base including an array of second magnets and configured to move above the planar motor, the second base being arranged coaxially with the first base, and at least one arm configured to be extended or contracted by rotating the second base relative to the first base.
    Type: Grant
    Filed: February 24, 2022
    Date of Patent: April 2, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Dongwei Li, Takehiro Shindo
  • Patent number: 11540432
    Abstract: Disclosed in some embodiments is a chamber component (such as an end effector body) coated with an ultrathin electrically-dissipative material to provide a dissipative path from the coating to the ground. The coating may be deposited via a chemical precursor deposition to provide a uniform, conformal, and porosity free coating in a cost effective manner. In an embodiment wherein the chamber component comprises an end effector body, the end effector body may further comprise replaceable contact pads for supporting a substrate and the contact surface of the contact pads head may also be coated with an electrically-dissipative material.
    Type: Grant
    Filed: June 2, 2020
    Date of Patent: December 27, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Gayatri Natu, Geetika Bajaj, Prerna Goradia, Darshan Thakare, David Fenwick, XiaoMing He, Sanni Seppaelae, Jennifer Sun, Rajkumar Thanu, Jeff Hudgens, Karuppasamy Muthukamatchy, Arun Dhayalan
  • Patent number: 11269312
    Abstract: A method, computer system, and a computer program product for identifying and rectifying one or more defects on a structure is provided. The present invention may include identifying the one or more defects on the structure. The present invention may then include dynamically creating one or more magnetic paths for one or more 3D printer vehicles to travel to one or more locations of the identified one or more defects associated with the structure, wherein one or more temporary magnetic coil arrays associated with the structure are utilized to create the one or more magnetic paths. The present may further include rectifying the identified one or more defects on the structured by utilizing the one or more 3D printer vehicles, wherein the one or more 3D printer vehicles utilize 3D printing methods to rectify the identified one or more defects on the structure.
    Type: Grant
    Filed: September 6, 2019
    Date of Patent: March 8, 2022
    Assignee: International Business Machines Corporation
    Inventor: Sarbajit K. Rakshit
  • Patent number: 10910240
    Abstract: A first transfer robot carries a substrate into and out of a container. A second transfer robot receives and delivers the substrate from and to the first transfer robot, and carries the substrate into and out of a first processing portion through a first exit/entrance port. A third transfer robot receives and delivers the substrate from and to the second transfer robot, carries the substrate into and out of a second processing portion through a second exit/entrance port, and carries the substrate into and out of a third processing portion through a third exit/entrance port.
    Type: Grant
    Filed: February 27, 2017
    Date of Patent: February 2, 2021
    Inventor: Ryo Muramoto
  • Patent number: 10757838
    Abstract: The disclosed system may include a group of information technology racks, where (1) each of the information technology racks stores information technology device modules, (2) the group of information technology racks are arranged such that interior faces of the information technology racks define a vertical column of space enclosed by the group of information technology racks, and (3) the information technology racks ventilate air heated by the information technology device modules from the interior faces of the information technology racks into the vertical column of space such that the heated air is contained within the vertical column of space.
    Type: Grant
    Filed: June 18, 2019
    Date of Patent: August 25, 2020
    Assignee: Facebook, Inc.
    Inventors: Andrew Gold, Scott C. Wiley, Marco Antonio Magarelli
  • Patent number: 10699928
    Abstract: A transport system includes an overhead transport vehicle that travels on a first track and is capable of delivering and receiving an article to and from a load port of a processing tool; a storage rack having a plurality of shelves that store the articles arranged in a vertical direction; a crane that travels on a second track parallel with the first track and is capable of delivering and receiving the article to and from the load port and the storage rack, respectively; and a first buffer arranged at a position where the crane that has stopped traveling at a position to deliver or receive the article to or from the load port is able to deliver or receive the article.
    Type: Grant
    Filed: May 2, 2017
    Date of Patent: June 30, 2020
    Assignee: Murata Machinery, Ltd.
    Inventor: Yoichi Motoori
  • Patent number: 10669049
    Abstract: Disclosed is a device for filling a receptacle, comprising at least one filling station (48) for filling at least one receptacle (36), and at least one receptacle holder (38) for conveying the receptacle (36) relative to the filling station (48), characterized in that at least one driving surface (13) and at least one mover (20) which can be coupled to the driving surface (13) especially in a magnetic manner are provided, the mover (20) being arranged so as to be movable and/or rotatable on the driving surface (13) in at least two degrees of freedom, and in that the receptacle holder (38) is disposed on the mover (20).
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: June 2, 2020
    Assignee: Robert Bosch GmbH
    Inventors: Albert Eberhardt, Andreas Rappold, Dieter Bandtel, Joachim Frangen, Jochen Ziegler, Jochen Peters, Markus Hanisch, Oliver Ullmann, Sebastian Gran, Stefan Junker, Stefan Pfeiffer, Ulrich Krauss, Werner Arleth, Werner Runft, Joshua Windsheimer
  • Patent number: 10529607
    Abstract: A substrate processing apparatus includes an accommodating chamber including a loading shelf configured to load a storage vessel that accommodates a substrate; a transfer mechanism installed in a ceiling part of the accommodating chamber and configured to hold an upper portion of the storage vessel and transfer the storage vessel; and a port configured to load and unload the storage vessel to and from the accommodating chamber.
    Type: Grant
    Filed: February 20, 2018
    Date of Patent: January 7, 2020
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Takeshi Ito
  • Patent number: 10239708
    Abstract: A laboratory sample distribution system in which a sample container carrier can be centered at a specific position is presented. A laboratory automation system with such a laboratory sample distribution system is also presented.
    Type: Grant
    Filed: February 28, 2017
    Date of Patent: March 26, 2019
    Assignee: Roche Diagnostics Operations, Inc.
    Inventor: Achim Sinz
  • Patent number: 9701078
    Abstract: In the method for manufacturing tires is provided herein. The method includes moving a member, which causes the transporting of at least one green tire into a predetermined loading zone of a curing station. After which, the cured tired is transferred to the member (from a curing station), and the green tire is transferred to the curing station. The member is then moved to transport the cured tire out of the loading zone.
    Type: Grant
    Filed: April 23, 2013
    Date of Patent: July 11, 2017
    Assignees: COMPAGNIE GENERALE DES ETABLISSEMENTS MICHELIN, MICHELIN RECHERCHE ET TECHNIQUE S.A.
    Inventors: Julien Moynet, Jean-Pierre Guilbaud, Eric Lebedel, Aurelien Prevost
  • Patent number: 9625481
    Abstract: An automation system for an in vitro diagnostics environment includes a plurality of intelligent carriers that include onboard processing and navigation capabilities. The intelligent carriers can include one or more image sensors to observe the relative motion of the track as the carrier traverses it. The carriers can also observe position marks on the track surface to provide absolute position information, which can include additional data, such as routing instructions. Synchronization marks may be provided to correct errors in the observed trajectory.
    Type: Grant
    Filed: May 21, 2013
    Date of Patent: April 18, 2017
    Assignee: Siemens Healthcare Diagnostics Inc.
    Inventors: Colin Mellars, Baris Yagci, Benjamin Samuel Pollack
  • Patent number: 9240337
    Abstract: A substrate transporting method includes: after a holding unit of a substrate holding apparatus receives a substrate from one placement location for a substrate and holds it, detecting a first positional deviation of the substrate from a reference position of the substrate on the holding unit; transporting the substrate held by the holding unit to a position facing another placement location; detecting a second positional deviation of the substrate from the reference position of the substrate on the holding unit, when the substrate is located at the position facing the another placement location; calculating, based on the first and second positional deviations, a positional displacement of the substrate relative to the holding unit that occurred during the transporting of the substrate to the position facing the another placement location; and determining whether or not the positional displacement thus calculated falls within a predetermined range.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: January 19, 2016
    Assignee: Tokyo Electron Limited
    Inventor: Tokutarou Hayashi
  • Patent number: 9224628
    Abstract: In accordance with an exemplary embodiment a semiconductor workpiece processing system having at least one processing tool for processing semiconductor workpieces, a container for holding at least one semiconductor workpiece therein for transport to and from the at least one processing tool and a first transport section elongated and defining a travel direction. The first transport section has parts, that interface the container, supporting and transporting the container along the travel direction to and from the at least one processing tool. The container is in substantially continuous transport at a substantially constant rate in the travel direction, when supported by the first transport section. A second transport section is connected to the at least one process tool for transporting the container to and from the at least one processing tool.
    Type: Grant
    Filed: September 24, 2012
    Date of Patent: December 29, 2015
    Assignee: Brooks Automation. Inc.
    Inventors: Michael L. Bufano, Ulysses Gilchrist, William Fosnight, Christopher Hofmeister, Daniel Babbs, Robert C. May
  • Patent number: 9159600
    Abstract: The wafer transport apparatus prevents contaminant deposited on an unprocessed wafer from adhering to a processed wafer. Carrying-in load port 2A is loaded with a FOUP 1 storing an unprocessed wafer W1. Carrying-in chamber 3A has a transport robot 4A which takes out the unprocessed wafer W1 from the FOUP 1. Carrying-in load lock 5A is accessed by the transport robot 4A from the carrying-in chamber 3A side. Carrying-out load port 2B is loaded with the FOUP 1 that can store a processed wafer W2. Carrying-out chamber 3B has a transport robot 4B which passes the processed wafer W2 to the FOUP 1. Carrying-out load lock 5B is accessed by the transport robot 4B from the carrying-out chamber 3B side. The carrying-in chamber 3A and carrying-out chamber 3B are separated from each other. The carrying-in load lock 5A and carrying-out load lock 5B are arranged on different stages.
    Type: Grant
    Filed: January 14, 2013
    Date of Patent: October 13, 2015
    Assignee: SINFONIA TECHNOLOGY CO., LTD.
    Inventors: Takumi Mizokawa, Yasuyoshi Kitazawa
  • Patent number: 9136150
    Abstract: There is provided a technique which can prevent poor processing of successive substrates in the event of a failure of a module or a transport mechanism for transporting a substrate between modules. A substrate processing apparatus includes: a plurality of modules from which a substrate holder of a substrate transport mechanism receives a substrate; a sensor section for detecting a displacement of the holding position of a substrate, held by the substrate holder, from a reference position preset in the substrate holder; and a storage section for storing the displacement, detected when the substrate holder receives a substrate from each of the modules, in a chronological manner for each module. A failure of one of the modules or the substrate transport mechanism is estimated based on the chronological data on the displacement for each module, stored in the storage section. This enables an early detection of a failure or abnormality.
    Type: Grant
    Filed: February 5, 2013
    Date of Patent: September 15, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Tokutarou Hayashi, Yuichi Douki, Hirotoshi Mori, Akihiro Teramoto
  • Patent number: 9043020
    Abstract: A substrate transportation path is determined by first determining a trajectory of a first straight line passing through a start point, calculating a trajectory of a circular arc in contact with the first straight line, calculating a trajectory of a second straight line in contact with the circular arc and passing through the end point, then, if the position of the end point is changed, re-calculating the second straight line as a straight line passing through the changed end point and in contact with the circular arc, and allowing the center of the substrate holding unit to move on the first straight line, and then, move on the circular arc from a first contact point, followed by moving on the second straight line from a second contact point so as to reach the end point.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: May 26, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Toshiaki Kodama
  • Publication number: 20150139760
    Abstract: Provided is a substrate conveyance method where a segment which can simultaneously hold M pieces of substrates defined by an integer M of 2 or more is provided, and a substrate conveyance part can simultaneously convey N pieces of substrates defined by an integer N of 2 or more which is not a divisor of the integer M from the segment or toward the segment. The substrate conveyance part performs a substrate conveyance cycle repeatedly where when N pieces of variables ik defined by integers k of 1 to N are arbitrary integers of 0 or more and not more than (M/N) and satisfy a relationship of M=N×i1+ . . . +1×iN, a conveyance step where a substrate conveyance step of simultaneously conveying (N?k?1) pieces of substrates from the segment or toward the segment by the substrate conveyance part is performed ik times is performed with respect to the conveyance step where the number of times of the substrate conveyance steps is defined by each variable which is a natural number out of N pieces of variables ik.
    Type: Application
    Filed: November 19, 2014
    Publication date: May 21, 2015
    Inventor: Yoshifumi OKADA
  • Publication number: 20150132085
    Abstract: A first transfer apparatus unloads and transfers substrates from a cassette. A first accommodating unit accommodates the substrates. First substrate processing units divided into at least two groups and arranged in a height direction performs a process to the substrates. Second accommodating units respectively corresponding to the groups are arranged to be parallel with the first accommodating unit in the height direction. Second transfer apparatuses respectively corresponding to the groups unload and transfer the substrates from the second accommodating units corresponding to the same groups into the first substrate processing units of the same groups. Second substrate processing units respectively corresponding to the groups are arranged to be parallel with the first and second accommodating units in the height direction.
    Type: Application
    Filed: November 13, 2014
    Publication date: May 14, 2015
    Inventors: Yukiyoshi Saito, Tomohiro Kaneko
  • Publication number: 20150132087
    Abstract: A system and method for receiving, handling and storing used rolls following unwinding of sheet material therefrom includes: (a) transferring the cores to a remnant cleaning station, (b) inspecting for (i) remnant material, (ii) repairable damage or (iii) irreparable damage; and (c) for those cores cleaned, repaired, or satisfactory as is, transferring them to a storage rack for the specific size and length.
    Type: Application
    Filed: January 15, 2015
    Publication date: May 14, 2015
    Applicant: Five Brothers Properties, LTD
    Inventors: Daniel J. Pienta, David M. Pienta
  • Publication number: 20150132086
    Abstract: A first transfer apparatus unloads and transfers substrates from a cassette. A first accommodating unit accommodates the substrates. First substrate processing units are divided into at least two groups arranged in a height direction. Second accommodating units corresponding to the groups are arranged to be parallel with the first accommodating unit in the height direction. Second transfer apparatuses corresponding to the groups unload and transfer the substrates from the second accommodating units corresponding to the same groups into the first substrate processing units of the same groups. Delivery apparatuses corresponding to the groups deliver the substrates in the first accommodating unit to the second accommodating units corresponding to the same groups. The first accommodating unit corresponding to the two adjacent groups is arranged at a middle height position of the groups. The second accommodating units respectively corresponding to the groups are arranged on and below the first accommodating unit.
    Type: Application
    Filed: November 13, 2014
    Publication date: May 14, 2015
    Inventors: Yukiyoshi Saito, Kumpei Tada
  • Publication number: 20150132084
    Abstract: The invention relates to an interlinking system for overhead transfer devices having at least two portals for the transport of workpieces or of workpieces on pallets between machines and/or supply/removal devices and vice versa. Each of the portals is in this respect equipped with at least one loading unit and the two portals are equipped with a transfer module for conveying the workpieces or the workpieces on pallets between a first and a second portal which is in turn equipped with a transport device for the reception of one or more workpieces or workpiece pallets.
    Type: Application
    Filed: August 27, 2014
    Publication date: May 14, 2015
    Inventors: Klaus Lingenhöl, Uwe Radigk, Roman Landerer
  • Publication number: 20150117987
    Abstract: Provided is a substrate treating apparatus. The substrate treating apparatus includes: a transfer chamber conveying a substrate; a process chamber disposed adjacent to the transfer chamber and performing a treating process o the substrate; and a drive assembly supplying a power by which a component of the transfer chamber or the process chamber operates, wherein the drive assembly includes: a cylinder connected to pipes; a piston disposed to be movable inside the cylinder and connected to the component by a drive shaft; and a pipe control unit automatically adjusting a moving speed of the piston.
    Type: Application
    Filed: October 30, 2014
    Publication date: April 30, 2015
    Inventors: Jin Ho CHOI, Ju Mi YOO
  • Publication number: 20150110584
    Abstract: A substrate processing apparatus including a frame, a first SCARA arm connected to the frame, including an end effector, configured to extend and retract along a first radial axis; a second SCARA arm connected to the frame, including an end effector, configured to extend and retract along a second radial axis, the SCARA arms having a common shoulder axis of rotation; and a drive section coupled to the SCARA arms is configured to independently extend each SCARA arm along a respective radial axis and rotate each SCARA arm about the common shoulder axis of rotation where the first radial axis is angled relative to the second radial axis and the end effector of a respective arm is aligned with a respective radial axis, wherein each end effector is configured to hold at least one substrate and the end effectors are located on a common transfer plane.
    Type: Application
    Filed: December 22, 2014
    Publication date: April 23, 2015
    Inventors: Ulysses Gilchrist, Robert T. Caveney, Jayaraman Krishnasamy, Mitchell Drew, Jairo T. Moura
  • Publication number: 20150110585
    Abstract: In a transport system, a track for a local carriage is provided directly below a track for overhead traveling vehicles, and the overhead traveling vehicles and the local carriage both transfer an article to and from the same transfer destination. A buffer is connected to the track for the transport local carriage to deliver and receive the article to and from the local carriage and includes a transport in/out cell below the track for the overhead traveling vehicles to deliver and receive the article to and from the overhead traveling vehicles, the buffer being provided in a location not directly below the track of the local carriage.
    Type: Application
    Filed: April 22, 2013
    Publication date: April 23, 2015
    Inventor: Tatsuji Ota
  • Publication number: 20150110586
    Abstract: Universal component lift apparatus for moving components of electronic device manufacturing systems is described. The universal component lift apparatus includes a track, a truck moveable along the track, and a lift apparatus adapted to couple to the truck, the lift apparatus including a wheeled base, a lift portion, and a boom adapted to couple to the component. Electronic device processing systems and methods of moving components thereof are described, as are numerous other aspects.
    Type: Application
    Filed: October 22, 2014
    Publication date: April 23, 2015
    Inventors: Jeffrey C. Hudgens, Alpay Yilmaz
  • Patent number: 9011069
    Abstract: A glass substrate transporting apparatus aims to load or unload a quartz bracket into or from multiple reactors. It includes a track, a carriage, a rotary element and a telescopic fetching rack. The reactors are located at two sides of the track. The carriage has four track wheels movable on the track and multiple motors to synchronously drive the four track wheels. The rotary element is located on the carriage. The telescopic fetching rack is located on the rotary element. Through movement of the carriage on the track and rotation of the rotary element, the telescopic fetching rack can sequentially align and enter the reactors to load or unload the quartz bracket, thus amount of the reactors to be utilized is increased and utilization efficiency of the glass substrate transporting apparatus is improved. Moreover, as the carriage can move quickly on the track, production is also increased.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: April 21, 2015
    Assignee: Tera Autotech Corporation
    Inventor: Yi-Lung Lee
  • Patent number: 8992160
    Abstract: A transfer robot according to an embodiment includes an arm and a body. The arm is provided, at a terminal end thereof, with a robot hand transferring a thin plate-like workpiece, and operates in horizontal directions. The body includes a lifting and lowering mechanism that lifts and lowers the arm. In the transfer robot, at least a part of the body is disposed outside a side wall of a transfer room that is connected to an opening and closing device opening and closing a storage container for the thin plate-like workpiece and to a processing room processing the thin plate-like workpiece.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: March 31, 2015
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventor: Masatoshi Furuichi
  • Publication number: 20150086302
    Abstract: A substrate processing apparatus includes: a first processing chamber; a second processing chamber; a transfer chamber; a frame structure; and an elevating part. Each of the first and the second processing chamber has a main body part and a lid part. The transfer chamber is connected to the first and the second processing chamber and accommodates a transfer unit for transferring the substrate. The frame structure has a pair of column parts and a beam part supported at top portions of the column parts. The elevating part is coupled to the beam part to be moved in a horizontal direction and moves the lid part in the vertical direction. The beam part extends above the first and the second processing chamber and the transfer chamber.
    Type: Application
    Filed: April 1, 2013
    Publication date: March 26, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Senzaki, Michishige Saito, Daiki Satoh, Ken Horiuchi, Koji Ando, Shingo Koiwa
  • Publication number: 20150086303
    Abstract: A substrate inspection system includes a plurality of processing units, and each processing unit is provided with a transport mechanism configured to transport an substrate to be inspected along a transport passage which extends substantially horizontally, a lift mechanism configured to lift the substrate to be inspected to a height position, at a set position on the transport passage, and processors each configured to perform a predetermined process on the substrate to be inspected positioned at the height position. The processing units are arranged such that transport passages thereof are aligned and such that the transport directions thereof are the same direction. Between two adjacent transport passages, the substrate to be inspected is delivered from the transport passage on an upstream side to the transport passage on a downstream side.
    Type: Application
    Filed: September 16, 2014
    Publication date: March 26, 2015
    Inventors: Takashi Nakagawa, Toshihide Matsukawa, Osamu Hikita, Akira Ogata, Michio Kaida
  • Patent number: 8979464
    Abstract: Provided is a production facility which allows a worker to perform manual works such as restoration, setting change, and manufacturing, without entering an action area of a robot. The production facility includes a casing, a swaging as a dedicated device housed in the casing, for performing swaging using a boot band, and an internal transfer device. A human work area on the front side of the production facility and an action area of a transfer robot on the back side of the production facility are separated by the swaging device and the casing. The worker positioned on the front side of the production facility operates swaging device without entering the action area of the transfer robot so that the transfer robot need not be stopped when the work is performed.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: March 17, 2015
    Assignee: NTN Corporation
    Inventors: Takuya Machida, Shigeki Matsushita, Shinya Inui, Hiroshi Hatano
  • Publication number: 20150071737
    Abstract: A transport apparatus including a robot drive; an arm having a first end connected to the robot drive; and at least one end effector connected to a second end of the arm. The arm includes at least three links connected in series to form the arm. The arm is configured to be moved by the robot drive to move the at least one end effector among load locks and two or more sets of opposing process modules.
    Type: Application
    Filed: September 9, 2014
    Publication date: March 12, 2015
    Inventors: Christopher HOFMEISTER, Martin HOSEK
  • Publication number: 20150068875
    Abstract: A material-handling system and method includes at least one first processing station, each having an input and an output. A linear transfer system made up of a plurality of transfer units that travel in unison in a linear motion past the input and output of the first processing station(s) either receives articles from the output and discharges articles to the input or transfers articles between the first processing station and a second processing station. A control sequences articles between the transfer units and the first input and output or between the first processing station and a second processing station in a manner that enhances operation of the processing station(s).
    Type: Application
    Filed: September 2, 2014
    Publication date: March 12, 2015
    Inventors: David M. Berghorn, Michael S. Khodl, Nolan R. Pilarz
  • Patent number: 8956568
    Abstract: A sample transfer mechanism of the present invention is characterized broadly by a sample gripping method in which a downward part of a sample vessel is gripped and a cover that achieves an effect as a guide such that gripping arms that grip the sample vessel avoid contact with other objects and bypass surrounding objects, particularly, the sample vessels in approaching and retracting motions. To enhance the effect as the guide and to ensure that the sample vessels can be mounted with a high degree of integration, the shape of the cover and disposition of the sample transfer mechanism are characterized in a number of manners. Thus, a sample transfer mechanism that can perform a transfer process safely with high throughput even under conditions in which a variety of types of sample vessels is mixed together and the sample vessels are mounted with a high degree of integration is provided.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: February 17, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akihiro Ohiso, Yoshiteru Hirama, Tatsuya Fukugaki, Tetsuya Isobe, Hiroaki Sakai
  • Publication number: 20140377040
    Abstract: Disclosed is a substrate processing system with a magnetic conduit configuration to improve the movement of a substrate carrier within the system. The configuration specifically provides for safe, secure movement of a carrier between multiple levels of a substrate processing system by using magnetic conduits to redirect magnetic forces created by a linear motor, permitting the linear motor to be positioned outside of the system and in a location that will not interfere with the movement of the carrier.
    Type: Application
    Filed: September 4, 2014
    Publication date: December 25, 2014
    Inventor: Stuart Scollay
  • Publication number: 20140377039
    Abstract: Provided is a substrate treating apparatus. The substrate treating apparatus includes an equipment front end module, a loadlock chamber, a transfer chamber, and a plurality of process chambers. The loadlock chamber includes a cooling unit for cooling a substrate treated in the process chambers, and the cooling unit includes a cooling chamber having an inner space, the cooling chamber having a gas inflow hole in one surface thereof, wherein support pins on which the substrate is placed are disposed around a circumference of the gas injection hole, a cooling gas injection part supplying a cooling gas toward the gas inflow hole, and a gas exhaust part exhausting the cooling gas supplied into the cooling chamber and fumes generated from the substrate to the outside of the cooling chamber.
    Type: Application
    Filed: June 13, 2014
    Publication date: December 25, 2014
    Applicant: PSK INC.
    Inventors: Seung-Kook YANG, Seong-wook LEE, Dae-hee SON
  • Publication number: 20140369792
    Abstract: A device for combining cards and card supports in an application area, in which cards and card supports are united via an industrial manipulator, includes a card supplying element for supplying cards to the application area and a card support channel for supplying card supports to the application area, the device being designed as compact as possible. At least one card processing station, a measuring station and/or a reversible temporary storage area are arranged in the range of the manipulator.
    Type: Application
    Filed: October 4, 2012
    Publication date: December 18, 2014
    Applicant: BOEWE SYSTEC GMBH
    Inventor: Heiner Kudrus
  • Patent number: 8911193
    Abstract: A method and apparatus for processing substrates using a multi-chamber processing system, or cluster tool, is provided. In one embodiment of the invention, a robot assembly is provided. The robot assembly includes a first motion assembly movable in a first direction, and a second motion assembly, the second motion assembly being coupled to the first motion assembly and being movable relative to the first motion assembly in a second direction that is generally orthogonal to the first direction. The robot assembly further comprises an enclosure disposed in one of the first motion assembly or the second motion assembly, the enclosure containing at least a portion of a vertical actuator assembly, a support plate coupled to the enclosure, and a first transfer robot disposed on the support plate.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Mike Rice, Jeffrey Hudgens, Charles Carlson, William Tyler Weaver, Robert Lowrance, Eric Englhardt, Dean C. Hruzek, Dave Silvetti, Michael Kuchar, Kirk Van Katwyk, Van Hoskins, Vinay Shah
  • Publication number: 20140356106
    Abstract: A substrate processing apparatus, which utilizes a first transfer apparatus and a second transfer apparatus which are configured to transfer a transfer container containing a plurality of substrates, along a first transfer path and a second transfer path whose lateral positions differ from each other, respectively, including a first load port where the transfer container is loaded and unloaded by the first transfer apparatus, and a second load port that is arranged stepwise with respect to the first load port, with the transfer container being loaded to and unloaded from the second load port by the second transfer apparatus.
    Type: Application
    Filed: August 15, 2014
    Publication date: December 4, 2014
    Inventors: Yuji KAMIKAWA, Takafumi TSUCHIYA, Koji EGASHIRA
  • Patent number: 8899291
    Abstract: A laminating apparatus is provided which causes a resin film to completely conform to protruding and recessed portions of a substrate, and which makes the film thickness of the conforming resin film uniform on a stricter level. To this end, the laminating apparatus includes a laminating mechanism including: an enclosed space forming receiver capable of receiving a provisionally laminated body therein; and a pressure laminator for applying pressure to the provisionally laminated body in non-contacting relationship in an enclosed space formed by the enclosed space forming receiver to form an end laminated body from the provisionally laminated body.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: December 2, 2014
    Assignees: Nichigo-Morton Co., Ltd., Shin-Etsu Chemical Co., Ltd.
    Inventors: Ryoichi Yasumoto, Kazutoshi Iwata, Kinya Kodama, Grigoriy Basin
  • Publication number: 20140341681
    Abstract: In a substrate processing apparatus, a storage device, an indexer block, a processing block and an interface block are arranged to line up in this order. The storage device includes a plurality of openers on which a carrier storing a plurality of substrates can be placed. The carrier is carried in the storage device. In the storage device, the carrier is transported among the plurality of openers by a transport device. The transport device includes first and second hands configured to be able to hold the carrier and move in a horizontal direction and a vertical direction. The second hand is provided below the first hand.
    Type: Application
    Filed: August 6, 2014
    Publication date: November 20, 2014
    Inventors: Yukihiko INAGAKI, Kensaku ONISHI, Jun YAMAMOTO
  • Patent number: 8892278
    Abstract: A method for implementing an overhead rail guided transport system includes the following steps: a vehicle transport system is provided, which includes an upper rail guided transport system, a lower rail guided transport system, a vehicle exchange equipment, and a plurality of vehicles operating in the upper and lower rail guided transport system; respective vehicle utilizing rates in the upper and lower rail guided transport systems are provided; the vehicle exchange equipment is used to interchange the vehicles respectively operating in the upper and lower rail guided transport systems in order to equilibrate the respective vehicle utilizing rates.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: November 18, 2014
    Assignee: Inotera Memories, Inc.
    Inventors: Huan-Cheng Lin, Chin-Hsiao Chuang
  • Patent number: 8870513
    Abstract: A transport arrangement (100) for bi-directionally transporting substrates towards and from a load lock (5) comprises a first substrate handler (1) swivelable about a first axis (A1) and with at least two first substrate carriers (1a, 1b). A second substrate handler (20) swivelable about a second axis (A20) comprises at least four second substrate carriers (20a to 20d). First and second substrate carriers are mutually aligned respectively in one position of their respective swiveling trajectory paths as one of the first substrate carriers is aligned with one of the second substrate carriers and the other of the first substrate carriers is aligned with the load lock (5). The first substrate carriers (1a, 1b) are movable towards and from the load lock (5) once aligned there with and thereby form respectively external valves of the load lock (5).
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: October 28, 2014
    Assignee: Oerlikon Advanced Technologies AG
    Inventors: Stephan Voser, Gerhard Dovids
  • Publication number: 20140227065
    Abstract: The invention relates to a drive device for multiaxial transport of workpieces through successive machining stations of a machine tool, in particular of a multistage forming press, wherein the drive device comprises two gripper rails, wherein the two gripper rails are transversely displaceable independently of each other by a first transverse drive assigned to the first gripper rail and a second transverse drive assigned to the second gripper rail for the execution of a closing movement. The gripper rails are here vertically displaceable independently of each other for the execution of a lifting movement, and/or the gripper rails are longitudinally displaceable independently of each other for the execution of a transport movement.
    Type: Application
    Filed: April 22, 2014
    Publication date: August 14, 2014
    Applicant: SCHULER PRESSEN GMBH
    Inventors: Christian Müller, Anton Lendler
  • Publication number: 20140227066
    Abstract: An apparatus for transferring receptacles between a plurality of receptacle-receiving structures disposed at different locations adjacent a transport track. The apparatus includes a receptacle carrier operatively engaged with the transport track and adapted to carry a receptacle and translate along the transport track. The receptacle carrier is further adapted to selectively stop at a transfer station with respect to any of the receptacles-receiving structures. The receptacle carrier includes a receptacle moving mechanism adapted to move a receptacle with respect to the receptacle carrier to move a receptacle into and/or out of the receptacle carrier. The apparatus further includes a transfer position locating system to automatically determine a location of a transfer position of the receptacle carrier with respect to each of the receptacle-receiving structures, thereby enabling the receptacle carrier to transfer a receptacle between the receptacle carrier and the receptacle-receiving structures.
    Type: Application
    Filed: April 22, 2014
    Publication date: August 14, 2014
    Applicants: STRATEC BIOMEDICAL AG, GEN-PROBE INCORPORATED
    Inventors: Stefan HABRICH, Norbert D. HAGEN, Olaf HÖRGER, Byron J. KNIGHT, David OPALSKY, Jason F. RHUBOTTOM, Heiko SAYER, Harald THAHEDL
  • Publication number: 20140161571
    Abstract: A substrate processing apparatus having a plurality of processing modules connected to the substrate transfer chamber and including first and second processing modules configured to perform different types of processing. The apparatus also includes a substrate transfer mechanism performing a carry-in operation of an unprocessed substrate into the substrate transfer chamber, a carry-out operation and a transfer of the substrate between the modules, a dummy substrate holder configured to hold a plurality of dummy substrates, and a control part configured to perform an operation of continuously carrying the dummy substrates from the dummy substrate holder into the first processing module when a waiting time for which the first processing module waits for carry-in of the substrate exceeds a predetermined setting time.
    Type: Application
    Filed: December 5, 2013
    Publication date: June 12, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Keisuke HIRAIDE, Yoshiki YAMADA
  • Publication number: 20140147235
    Abstract: A process-line-to-process-line transport apparatus transports a transport subject between corresponding two of process lines. A first transport vehicle transports the transport subject in a first process line, and a second transport vehicle transports the transport subject in a second process line. A relay stocking apparatus is placed in a corresponding location, at which the relay stocking apparatus receives the transport subject from one of the first transport vehicle and the second transport vehicle and passes the received transport subject to the other one of the first transport vehicle and the second transport vehicler.
    Type: Application
    Filed: November 14, 2013
    Publication date: May 29, 2014
    Applicant: DENSO CORPORATION
    Inventors: Masaaki KUROYANAGI, Toshihiro OKUMURA, Keisuke YOSHIDA
  • Publication number: 20140119858
    Abstract: A semiconductor device manufacturing line includes a process system that includes a plurality of process units of a single wafer process type, and a carrier system that carries wafers to the plurality of process units. The carrier system includes a plurality of carrier units each carrying one wafer from one of the process units to another process unit of a next process.
    Type: Application
    Filed: September 19, 2013
    Publication date: May 1, 2014
    Applicant: SANDISK 3D LLC
    Inventors: Atsuyoshi Koike, Takayuki Wakabayashi, Hideki Kishi
  • Publication number: 20140112742
    Abstract: The present invention discloses a crane and an automated storage and retrieval system. The crane includes a first arm, a second arm, a first vertical transmission mechanism and a second vertical transmission mechanism. The first vertical transmission mechanism transmits the first arm and the second arm to move vertically in a synchronous manner, and the second vertical transmission mechanism transmits the second arm to move vertically with respect to the first arm. As such, the present invention can increase the moving volume of a single turn of movement as well as improve the moving efficiency and production efficiency.
    Type: Application
    Filed: October 26, 2012
    Publication date: April 24, 2014
    Applicant: Shenzhen China Star Optpelectronics Techonology Co., Ltd.
    Inventors: Guokun Yang, Chunhao Wu, Kunhsien Lin, Minghu Qi, Zhenhua Guo, Yongqiang Wang, Zenghong Chen, Chenyangzi Li, Weibing Yang, Zhiyou Shu
  • Publication number: 20140112743
    Abstract: A substrate processing system includes a plurality of processing chambers configured to perform a predetermined processing with respect to substrates, a transfer device configured to transfer the substrates to the processing chambers in a predetermined order, and a delivery unit configured to deliver the substrates between the delivery unit and the transfer device. The substrate processing system configured to sequentially process the substrates by repeating an operation in a predetermined transfer order. The substrate processing system includes a transfer order setting unit and a transfer control unit configured to switch the first transfer order to the second transfer order.
    Type: Application
    Filed: October 16, 2013
    Publication date: April 24, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Daisuke MORISAWA
  • Patent number: 8655482
    Abstract: A storage device testing system includes one or more test racks, and one or more test slots housed by the one or more test racks, each test slot being configured to receive a storage device for testing. The storage device testing system also includes a transfer station for supplying storage devices to be tested. The one or more test racks and the transfer station at least partially define an operating area. The storage device testing system can also include automated machinery that is disposed within the operating area and is configured to transfer storage devices between the transfer station and the one or more test slots, and a cover at least partially enclosing the operating area, thereby at least partially inhibiting air exchange between the operating area and an environment surrounding the test racks.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: February 18, 2014
    Assignee: Teradyne, Inc.
    Inventor: Brian S. Merrow