Chemical Vapor Deposition (e.g., Electron Beam Or Heating Using Ir, Inductance, Resistance, Etc.) Patents (Class 427/585)
  • Patent number: 11970765
    Abstract: The present disclosure relates to a method for chemical vapour deposition on a substrate, the method comprising a precursor step and a reactant step, wherein the precursor step comprises chemisorbing a layer of precursor molecules on the substrate (170), and wherein the reactant step comprises adding to at least part of the substrate (170) surface species able to reduce the precursor molecule, whereby at least a part of the reduced precursor molecule is deposited on the substrate (170) surface, characterized by applying by means of a voltage source (130) a positive bias to at least part of the substrate (170) surface during at least part of the reactant step, wherein the step of adding the reducing species comprises providing by means of an electron source (150) electrons as free particles, whereby during the reactant step a closed electrical circuit is formed as the free electrons are transmitted to the substrate (170) surface.
    Type: Grant
    Filed: January 15, 2020
    Date of Patent: April 30, 2024
    Assignee: Ionautics AB
    Inventors: Henrik Pedersen, Hama Nadhom
  • Patent number: 11963433
    Abstract: The present invention provides an organic electroluminescence device capable of having not only a device lifetime comparable to that of an existing organic electroluminescence device but also a small thickness of smaller than 10 ?m and excellent flexibility. The present invention relates to an organic electroluminescence device having a structure including: an anode; a cathode on a substrate; and a laminate of multiple layers between the anode and the cathode, the device having a thickness of smaller than 10 ?m.
    Type: Grant
    Filed: December 6, 2019
    Date of Patent: April 16, 2024
    Assignees: The University of Tokyo, Nippon Hoso Kyokai, Nippon Shokubai Co., Ltd.
    Inventors: Takao Someya, Tomoyuki Yokota, Hirohiko Fukagawa, Takahisa Shimizu, Katsuyuki Morii, Tsuyoshi Goya, Kenji Kuwada
  • Patent number: 11417729
    Abstract: A method includes forming a first low-dimensional layer over an isolation layer, forming a first insulator over the first low-dimensional layer, forming a second low-dimensional layer over the first insulator, forming a second insulator over the second low-dimensional layer, and patterning the first low-dimensional layer, the first insulator, the second low-dimensional layer, and the second insulator into a protruding fin. Remaining portions of the first low-dimensional layer, the first insulator, the second low-dimensional layer, and the second insulator form a first low-dimensional strip, a first insulator strip, a second low-dimensional strip, and a second insulator strip, respectively. A transistor is then formed based on the protruding fin.
    Type: Grant
    Filed: April 1, 2020
    Date of Patent: August 16, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chao-Ching Cheng, Tzu-Ang Chao, Chun-Chieh Lu, Hung-Li Chiang, Tzu-Chiang Chen, Lain-Jong Li
  • Patent number: 10661297
    Abstract: An embodiment of a method includes retaining a first workpiece and a second workpiece selectively on a workpiece fixture disposed within a deposition chamber. The workpiece fixture includes tooling including a first workpiece holder, a second workpiece holder, and a first hollow wall. The first workpiece is separated from the second workpiece using the first hollow wall. Energy is selectively applied and directed within the deposition chamber, from an energy source toward a first crucible, the first crucible including a plurality of walls defining an upper recess contiguous with, and disposed directly above a first lower recess, at least the upper recess open to an interior of the deposition chamber. During the step of selectively applying and directing energy, a gas valve is controlled to maintain a partial vacuum in the deposition chamber of greater than 2 Pa to control a size and overlap of at least one coating zone formed around each of the at least one workpiece.
    Type: Grant
    Filed: July 11, 2018
    Date of Patent: May 26, 2020
    Assignee: United Technologies Corporation
    Inventors: James W. Neal, Kevin W. Schlichting, Brian T. Hazel, David A. Litton, Eric Jorzik, Michael J. Maloney
  • Patent number: 10648081
    Abstract: The invention provides a method of burying trenches of a sample comprises at least the steps of: from the sample having the trenches extending from one surface into a depth direction, cutting a sample piece of a small part including the trenches; and by irradiating an electron beam toward the inside of the trenches from a side surface extending along the depth direction of the sample piece and simultaneously injecting a compound gas into the inside of the trenches from openings on the side of the one surface of the trench, decomposing the compound gas with secondary electrons generated by irradiation of the electron beam and depositing constituents of the compound gas within the trenches. Therefore, the method can bury the trenches uniformly without generating cavities within the trenches even if the trenches of the sample piece have a high aspect ratio deep in a depth direction.
    Type: Grant
    Filed: March 28, 2018
    Date of Patent: May 12, 2020
    Assignee: Hitachi High-Tech Science Corporation
    Inventor: Shota Torikawa
  • Patent number: 10599032
    Abstract: The present invention relates to a resist composition, especially for use in the production of electronic components via electron beam lithography. In addition to the usual base polymeric component (resist polymer), a secondary electron generator is included in resist compositions of the invention in order to promote secondary electron generation. This unique combination of components increases the exposure sensitivity of resists in a controlled fashion which facilitates the effective production of high-resolution patterned substrates (and consequential electronic components), but at much higher write speeds.
    Type: Grant
    Filed: March 24, 2015
    Date of Patent: March 24, 2020
    Assignee: The University of Manchester
    Inventors: Scott Lewis, Stephen Yeates, Richard Winpenny
  • Patent number: 10490425
    Abstract: A plasma system includes a plasma chamber comprising a chamber wall with a first focal line and a second focal line disposed within the chamber wall. A first antenna is disposed within the plasma chamber at the first focal line. The chamber wall is configured to focus radiation from the first antenna on to the second focal line.
    Type: Grant
    Filed: July 29, 2015
    Date of Patent: November 26, 2019
    Assignee: INFINEON TECHNOLOGIES AG
    Inventor: Manfred Engelhardt
  • Patent number: 10450645
    Abstract: A coating system for coating a part (10), such as a turbine blade or vane, has a mask (14) positioned adjacent to a first portion (16) of the part (10) to be coated and a mechanism (30) for moving the mask (14) relative to the part (10). The mechanism (30) may be a gear mechanism or a magnetic mechanism.
    Type: Grant
    Filed: September 22, 2017
    Date of Patent: October 22, 2019
    Assignee: United Technologies Corporation
    Inventors: James W. Neal, David A. Litton, Russell A. Beers, Benjamin Joseph Zimmerman, Michael J. Maloney
  • Patent number: 10420198
    Abstract: The extreme ultraviolet light generating apparatus may include a chamber having a window through which a pulse laser beam enters, a target supply unit configured to output at least one target toward a predetermined region in the chamber, a target image capturing device configured to capture an image of the at least one target, a first actuator configured to move a focused area focused by the target image capturing device, and a controller configured to control the first actuator based on a signal from an external device.
    Type: Grant
    Filed: February 13, 2018
    Date of Patent: September 17, 2019
    Assignee: Gigaphoton Inc.
    Inventors: Hirokazu Hosoda, Toru Suzuki
  • Patent number: 10233350
    Abstract: The present invention relates to a photocurable composition including (A) a photocurable monomer, (B) a monomer of chemical formula 1 or an oligomer thereof and (C) an initiator, a barrier layer including the same and an enveloped device including the same.
    Type: Grant
    Filed: October 31, 2013
    Date of Patent: March 19, 2019
    Assignee: Cheil Industries, Inc.
    Inventors: Chang Min Lee, Seung Jib Choi, Kyoung Jin Ha, Sung Min Ko, Ji Hye Kwon, Seong Ryong Nam, Se Il Oh, Yeon Soo Lee, Ji Yeon Lee
  • Patent number: 10134632
    Abstract: A system and method for a low-k dielectric layer are provided. A preferred embodiment comprises forming a matrix and forming a porogen within the matrix. The porogen comprises an organic ring structure with fewer than fifteen carbons and a large percentage of single bonds. Additionally, the porogen may have a viscosity greater than 1.3 and a Reynolds numbers less than 0.5.
    Type: Grant
    Filed: February 6, 2017
    Date of Patent: November 20, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Joung-Wei Liou, Hui-Chun Yang, Yu-Yun Peng, Keng-Chu Lin
  • Patent number: 9777369
    Abstract: A method of depositing a thin film on a substrate inside a vacuum chamber includes a first process that deposits a first film on the substrate, the first process including a process of supplying an active species that is obtained by changing a gas to plasma and is related to a quality of the thin film to the substrate; and a second process that deposits a second film that is the same type as that of the first film on the first film, the second process including a process of supplying the active species to the substrate so that a supply quantity of the active species per a unit film thickness is greater than a first supply quantity of the active species per the unit film thickness in the first process by adjusting a controlled parameter.
    Type: Grant
    Filed: June 13, 2014
    Date of Patent: October 3, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Masahiro Murata, Kentaro Oshimo, Shigehiro Miura
  • Patent number: 9598285
    Abstract: An apparatus of the present invention for producing aligned carbon nanotube aggregates is an apparatus for producing aligned carbon nanotube aggregates, the apparatus being configured to grow the aligned carbon nanotube aggregate by: causing a catalyst formed on a surface of a substrate to be surrounded by a reducing gas environment constituted by a reducing gas; heating at least either the catalyst or the reducing gas; causing the catalyst to be surrounded by a raw material gas environment constituted by a raw material gas; and heating at least either the catalyst or the raw material gas, at least either an apparatus component exposed to the reducing gas or an apparatus component exposed to the raw material gas being made from a heat-resistant alloy, and having a surface plated with molten aluminum.
    Type: Grant
    Filed: February 8, 2010
    Date of Patent: March 21, 2017
    Assignees: ZEON CORPORATION, NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
    Inventors: Akiyoshi Shibuya, Keiichi Kawata, Kenji Hata, Motoo Yumura
  • Patent number: 9449793
    Abstract: A plasma source includes a ring plasma chamber, a primary winding around an exterior of the ring plasma chamber, multiple ferrites, wherein the ring plasma chamber passes through each of the ferrites and multiple plasma chamber outlets coupling the plasma chamber to a process chamber. Each one of the plasma chamber outlets having a respective plasma restriction. A system and method for generating a plasma are also described.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: September 20, 2016
    Assignee: Lam Research Corporation
    Inventors: Ali Shajii, Richard Gottscho, Souheil Benzerrouk, Andrew Cowe, Siddharth P. Nagarkatti, William R. Entley
  • Patent number: 9245709
    Abstract: A charged particle beam specimen inspection system is described. The system includes an emitter for emitting at least one charged particle beam, a specimen support table configured for supporting the specimen, an objective lens for focusing the at least one charged particle beam, a charge control electrode provided between the objective lens and the specimen support table, wherein the charge control electrode has at least one aperture opening for the at least one charged particle beam, and a flood gun configured to emit further charged particles for charging of the specimen, wherein the charge control electrode has a flood gun aperture opening at which a conductive membrane is provided which is positioned between the flood gun and the specimen support table.
    Type: Grant
    Filed: September 29, 2014
    Date of Patent: January 26, 2016
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH
    Inventor: Jürgen Frosien
  • Patent number: 9236283
    Abstract: A chamber apparatus including a chamber which accommodates a substrate having a coating film formed thereon; a first heating part which is accommodated in the chamber and disposed on a first face side of the substrate; a second heating part which is accommodated in the chamber and disposed on a second face side of the substrate opposite to the first face; and a pressure control part which is capable of pressurizing and depressurizing inside of the chamber in a heated state.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: January 12, 2016
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Tsutomu Sahoda, Yoshiaki Masu, Takashi Maruyama
  • Patent number: 9194045
    Abstract: Methods of processing a substrate include supplying process gas to a processing chamber including the substrate. Plasma is created in the processing chamber. After performing a first substrate processing step, the plasma is maintained in the processing chamber and at least one operating parameter is adjusted. The operating parameters may include RF bias to a pedestal, a plasma voltage bias, a gas admixture, a gas flow, a gas pressure, an etch to deposition (E/D) ratio and/or combinations thereof. One or more additional substrate processing steps are performed without an interruption in the plasma between the first substrate processing step and the one or more additional substrate processing steps.
    Type: Grant
    Filed: April 1, 2013
    Date of Patent: November 24, 2015
    Assignee: Novellus Systems, Inc.
    Inventors: Liqi Wu, Huatan Qiu, Yung Yi Lee
  • Patent number: 9054110
    Abstract: A system and method for a low-k dielectric layer are provided. A preferred embodiment comprises forming a matrix and forming a porogen within the matrix. The porogen comprises an organic ring structure with fewer than fifteen carbons and a large percentage of single bonds. Additionally, the porogen may have a viscosity greater than 1.3 and a Reynolds numbers less than 0.5.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: June 9, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Joung-Wei Liou, Hui-Chun Yang, Yu-Yun Peng, Keng-Chu Lin
  • Publication number: 20150147525
    Abstract: Methods for enabling or enhancing growth of carbon nanotubes on unconventional substrates. The method includes selecting an inactive substrate, which has surface properties that are not favorable to carbon nanotube growth. A surface of the inactive substrate is treated so as to increase a porosity of the same. CNTs are then grown on the surface having the increased porosity.
    Type: Application
    Filed: November 25, 2014
    Publication date: May 28, 2015
    Applicant: Government of the United States as Represented by the Secretary of the Air Force
    Inventors: Benji Maruyama, Gordon A. Sargent, Ahmad E. Islam
  • Publication number: 20150129114
    Abstract: A thermal convection-type accelerometer includes a first insulating substrate, a circuit formed on the first insulating substrate, a first acceleration-sensing device coupled with the circuit, and a first supporting layer attached on the insulating substrate. The first acceleration-sensing device includes two temperature-sensing components and a heater disposed between the temperature-sensing components. The temperature-sensing components and the heater are directly formed on the first supporting layer.
    Type: Application
    Filed: January 26, 2015
    Publication date: May 14, 2015
    Inventor: Jium Ming LIN
  • Patent number: 9012024
    Abstract: The invention relates to a glazing comprising a transparent glass substrate containing ions of at least one alkali metal and a transparent layer made of silicon oxycarbide (SiOxCy) having a total thickness E with (a) a carbon-rich deep zone, extending from a depth P3 to a depth P4, where the C/Si atomic ratio is greater than or equal to 0.5, and (b) a carbon-poor surface zone, extending from a depth P1 to a depth P2, where the C/Si atomic ratio is less than or equal to 0.4, with P1<P2<P3<P4 and (P2?P1)+(P4?P3)<E the distance between P1 and P2 representing from 10% to 70% of the total thickness E of the silicon oxycarbide layer and the distance between P3 and P4 representing from 10% to 70% of the total thickness E of the silicon oxycarbide layer.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: April 21, 2015
    Assignee: Saint-Gobain Glass France
    Inventors: Claire Thoumazet, Martin Melcher, Arnaud Huignard, Raphael Lante
  • Patent number: 9006681
    Abstract: A process of preparing a lamella from a substrate includes manufacturing a protection strip on an edge portion of the lamella to be prepared from the substrate, and preparing the lamella, wherein the manufacturing the protection strip includes a first phase of activating a surface area portion of the substrate, and a second phase of electron beam assisted deposition of the protective strip on the activated surface area portion from the gas phase.
    Type: Grant
    Filed: March 10, 2014
    Date of Patent: April 14, 2015
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Heinz Wanzenboeck, Wolfram Buehler, Holger Doemer, Carl Kuebler, Daniel Fischer, Gottfried Hochleitner, Emmerich Bertagnolli
  • Patent number: 8999458
    Abstract: A method and apparatus for fabricating or altering a microstructure use means for heating to facilitate a local chemical reaction that forms or alters the submicrostructure.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: April 7, 2015
    Assignee: International Business Machines Corporation
    Inventors: Supratik Guha, Hendrik F. Hamann, Herschel M. Marchman, Robert J. Von Gutfeld
  • Patent number: 8980117
    Abstract: Provided is a piezoelectric material having a high Curie temperature and satisfactory piezoelectric characteristics, the piezoelectric material being represented by the following general formula (1): A(ZnxTi(1-x))yM(1-y)O3??(1) where A represents a Bi element, M represents at least one element selected from Fe, Al, Sc, Mn, Y, Ga, and Yb; x represents a numerical value of 0.4?x?0.6; and y represents a numerical value of 0.17?y?0.60.
    Type: Grant
    Filed: January 1, 2010
    Date of Patent: March 17, 2015
    Assignees: Canon Kabushiki Kaisha, Tokyo Institute of Technology, Sophia University, National Institute of Advanced Industrial Science and Technology
    Inventors: Makoto Kubota, Toshihiro Ifuku, Hiroshi Funakubo, Keisuke Yazawa, Hiroshi Uchida, Takashi Iijima, Bong-yeon Lee
  • Patent number: 8961745
    Abstract: The plant is suitable to produce a semiconductor film (8) having a desired thickness and consisting substantially of a compound including at least one element for each of the groups 11, 13, and 16 of the periodic classification of elements. The plant comprises an outer case (1) embedding a chamber (2) divided into one deposition zone (2a) and one evaporation zone (2b), which are separated by a screen (3) interrupted by at least one cylindrical transfer member provided with actuation means rotating about its axis (5). To the deposition zone (2a) a magnetron device (7) is associated, for the deposition by sputtering of at least one element for each of the groups 11 and 13 on the side surface (?) of the cylindrical member that is in the deposition zone (2a). To the evaporation zone (2b) a cell (10) for the evaporation of at least one element of the group 16 is associated, and such an evaporation zone (2b) houses a substrate (8a) on which the film (8) is produced.
    Type: Grant
    Filed: January 28, 2014
    Date of Patent: February 24, 2015
    Assignee: VOLTASOLAR S.r.l.
    Inventors: Maurizio Filippo Acciarri, Simona Olga Binetti, Leonida Miglio, Maurilio Meschia, Raffaele Moneta, Stefano Marchionna
  • Patent number: 8945691
    Abstract: A fluffy nano-material and method of manufacture are described. At 2000× magnification the fluffy nanomaterial has the appearance of raw, uncarded wool, with individual fiber lengths ranging from approximately four microns to twenty microns. Powder-based nanocatalysts are dispersed in the fluffy nanomaterial. The production of fluffy nanomaterial typically involves flowing about 125 cc/min of organic vapor at a pressure of about 400 torr over powder-based nano-catalysts for a period of time that may range from approximately thirty minutes to twenty-four hours.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: February 3, 2015
    Assignee: Consolidated Nuclear Security, LLC
    Inventors: Paul A. Menchhofer, Roland D. Seals, Jane Y. Howe, Wei Wang
  • Publication number: 20150017399
    Abstract: A method of photopatterning rewritable reactive groups onto surfaces using typically a plasmachemical deposition of functionalized materials, followed by molecular printing of inks. Subsequent treatment of the reactive groups allows for surface rewriting and also the method allows for the creation of either positive or negative image multifunctional rewritable patterned surfaces.
    Type: Application
    Filed: September 24, 2014
    Publication date: January 15, 2015
    Inventors: Jas Pal Singh BADYAL, Vincent ROUCOULES, Wayne Christopher Edward SCHOFIELD
  • Patent number: 8926853
    Abstract: Aspects of the invention are directed to a method of forming graphene structures. Initially, a cluster of particles is received. The cluster of particles comprises a plurality of particles with each particle in the plurality of particles contacting one or more other particles in the plurality of particles. Subsequently, one or more layers are deposited on the cluster of particles with the one or more layers comprising graphene. The plurality of particles are then etched away without substantially etching the deposited one or more layers. Lastly, the remaining one or more layers are dried. The resultant graphene structures are particularly resistant to the negative effects of aggregation and compaction.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: January 6, 2015
    Inventors: Xin Zhao, Yu-Ming Lin
  • Patent number: 8916055
    Abstract: A processing method and apparatus uses at least one electric field applicator (34) biased to produce a spatial-temporal electric field to affect a processing medium (26), suspended nano-objects (28) or the substrate (30) in processing, interacting with the dipole properties of the medium (26) or particles to construct structure on the substrate (30). The apparatus may include a magnetic field, an acoustic field, an optical force, or other generation device. The processing may affect selective localized layers on the substrate (30) or may control orientation of particles in the layers, control movement of dielectrophoretic particles or media, or cause suspended particles of different properties to follow different paths in the processing medium (26). Depositing or modifying a layer on the substrate (30) may be carried out.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: December 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jozef Brcka, Jacques Faguet, Eric M. Lee, Hongyu Yue
  • Publication number: 20140347625
    Abstract: An optical component is an optical component including: a plastic base; and a multilayer film disposed on at least a surface having a greater curvature, of a front surface of the plastic base and a rear surface of the plastic base, wherein the multilayer film has a maximum reflectivity of 3% to 50% in a wavelength range of 380 nm to 780 nm.
    Type: Application
    Filed: August 12, 2014
    Publication date: November 27, 2014
    Inventors: Masaoki TOMODA, So MIYAMOTO
  • Patent number: 8889551
    Abstract: A deposition device includes a deposition source for discharging a deposition material to be deposited on a substrate, an angle control member at least partly in a discharging path of the deposition material for controlling a discharging angle of the deposition material, and an angle control member driver coupled to the angle control member, the angle control member driver for moving the angle control member in a discharging direction of the deposition material to control the discharging angle.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: November 18, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventor: Sang-Woo Lee
  • Patent number: 8882971
    Abstract: A sputtering apparatus (1) includes: a chamber (10) having an inside maintained in a depressurized state to generate plasma discharge (20); a cathode (22) placed in the chamber (10) and holding a target (21); and a substrate holder (60) holding a substrate (110) so that one surface of the substrate (110) faces the surface of the target (21). The substrate (110) is arranged at an upper portion in the sputtering apparatus (1) with the surface of the substrate (110) facing downward. The target (21) is arranged at a lower portion in the sputtering apparatus (1) with the surface of the target (21) facing upward. The sputtering apparatus (1) includes a heater (65) for heating the substrate (110). The temperature of the substrate (110) is raised by absorbing electromagnetic waves radiated from the heater (65). A method of manufacturing a semiconductor light-emitting element using the sputtering apparatus is also disclosed.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: November 11, 2014
    Assignee: Toyoda Gosei Co., Ltd.
    Inventors: Hisayuki Miki, Kenzo Hanawa, Yasunori Yokoyama, Yasumasa Sasaki
  • Patent number: 8871153
    Abstract: Mechanically fluidized systems and processes allow for efficient, cost-effective production of silicon. Particulate may be provided to a heated tray or pan, which is oscillated or vibrated to provide a reaction surface. The particulate migrates downward in the tray or pan and the reactant product migrates upward in the tray or pan as the reactant product reaches a desired state. Exhausted gases may be recycled.
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: October 28, 2014
    Assignee: Rokstar Technologies LLC
    Inventors: Mark W. Dassel, David A. Bressler
  • Publication number: 20140308587
    Abstract: An ultra-short pulse laser physically and/or chemically modifies a substrate surface. A laser ablation process is configured to form raised surface features on the substrate. The laser also functions as the energy source in a chemical vapor deposition (CVD) process. The laser delivers energy to the substrate with parameters such as pulse energy, size, duration, and spacing sufficient to simultaneously vaporize substrate material and cause the substrate material to react with a controlled environment that includes constituents of a desired coating composition. A battery electrode having a face with microneedle features coated with an active metal compound can be produced by the process. The active metal compound is a lithium-containing compound in a lithium-ion battery.
    Type: Application
    Filed: April 14, 2014
    Publication date: October 16, 2014
    Inventor: Jyotirmoy Mazumder
  • Patent number: 8859052
    Abstract: Methods of making components having calcium magnesium aluminosilicate (CMAS) mitigation capability include providing a component, applying an environmental barrier coating to the component, where the environmental barrier coating includes a CMAS mitigation composition selected from the group consisting of zinc aluminate spinel, alkaline earth zirconates, alkaline earth hafnates, rare earth gallates, beryl, and combinations thereof.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: October 14, 2014
    Assignee: General Electric Company
    Inventors: Glen Harold Kirby, Brett Allen Boutwell, John Frederick Ackerman
  • Publication number: 20140291570
    Abstract: Disclosed herein is a method comprising disposing a first particle in a reactor; the first particle being a magnetic particle or a particle that can be influenced by a magnetic field, an electric field or a combination of an electrical field and a magnetic field; fluidizing the first particle in the reactor; applying a uniform magnetic field, a uniform electrical field or a combination of a uniform magnetic field and a uniform electrical field to the reactor; elevating the temperature of the reactor; and fusing the first particles to form a monolithic solid.
    Type: Application
    Filed: July 6, 2012
    Publication date: October 2, 2014
    Applicant: University of Florida Research Foundation ,Inc.
    Inventors: James F. Klausner, Renwei Mei, Ayyoub Mehdizadeh Momen, Kyle Allen
  • Publication number: 20140295105
    Abstract: The invention relates to a method and a device for depositing silicon on a substrate using a focused beam of charged particles. A precursor containing silicon is provided, said precursor being dissociated by the beam in the immediate vicinity of the substrate. The aim of the invention is to allow the deposition of silicon on a substrate in a particularly effective way, material-protecting and precise manner. For this purpose, polysilane is used as the precursor.
    Type: Application
    Filed: December 23, 2011
    Publication date: October 2, 2014
    Inventors: Michael Huth, Andreas Terfort
  • Publication number: 20140293280
    Abstract: Provided herein are substrates useful for surface-enhanced Raman spectroscopy (SERS), as well as methods of making substrates. The substrates comprise a support element; a nanoparticulate layer; a SERS-active layer in contact with said nanoparticulate layer; and optionally, an immobilizing layer disposed between said nanoparticulate layer and said support element; wherein if the optional immobilizing layer is not present, the nanoparticulate layer is thermally bonded to the support element; and if said optional immobilizing layer is present, said nanoparticulate layer thermally bonded to said immobilizing layer, and optionally, further thermally bonded to said support element. In addition, methods of making the substrates, along with methods of detecting and increasing a Raman signal using the substrates, are described herein.
    Type: Application
    Filed: November 2, 2012
    Publication date: October 2, 2014
    Inventors: Glenn Eric Kohnke, Xinyuan Liu, Marcel Potuzak, Alranzo Boh Ruffin, Millicent Kaye Weldon Ruffin
  • Publication number: 20140287161
    Abstract: Provided are methods and systems for vacuum coating the outside surface of tubular devices for use in oil and gas exploration, drilling, completions, and production operations for friction reduction, erosion reduction and corrosion protection. These methods include embodiments for sealing tubular devices within a vacuum chamber such that the entire device is not contained within the chamber. These methods also include embodiments for surface treating of tubular devices prior to coating. In addition, these methods include embodiments for vacuum coating of tubular devices using a multitude of devices, a multitude of vacuum chambers and various coating source configurations.
    Type: Application
    Filed: October 3, 2012
    Publication date: September 25, 2014
    Applicant: EXXONMOBIL RESEARCH AND ENGINEERING COMPANY
    Inventors: Mehmet D. Ertas, Michael B. Ray, Srinivasan Rajagopalan, Bo Zhao, Erika A. Ooten Biediger
  • Publication number: 20140287160
    Abstract: The present invention provides a vapour deposition process for the preparation of a phosphate compound, wherein the process comprises providing each component element of the phosphate compound as a vapour, and co-depositing the component element vapours on a common substrate, wherein the component elements react on the substrate to form the phosphate compound.
    Type: Application
    Filed: July 20, 2012
    Publication date: September 25, 2014
    Applicants: TOYOTA MOTOR CORPORATION, ILIKA TECHNOLOGIES LTD.
    Inventors: Brian Elliott Hayden, Christopher Edward Lee, Duncan Clifford Alan Smith, Mark Stephen Beal, Xiaojuan Lu, Chihiro Yada
  • Publication number: 20140272195
    Abstract: Methods, systems, and devices are disclosed for precision fabrication of nanoscale materials and devices. In one aspect, a method to manufacture a nanoscale structure include a process to dissociate a feedstock substance including a gas or a vapor into constituents, in which the constituents include individual atoms and/or molecules. The method includes a process to deposit the constituents on a surface at a particular location. The method includes a process to grow layers layer by layer using two or more particle and/or energy beams to form a material structure, in which the energy beams include at least one of a laser beam or an atomic particle beam.
    Type: Application
    Filed: March 17, 2014
    Publication date: September 18, 2014
    Applicant: MCALISTER TECHNOLOGIES, LLC
    Inventor: Roy Edward McAlister
  • Patent number: 8815123
    Abstract: A method for fabricating an IBIIIAVIA-group amorphous compound used for thin-film solar cells is provided. A mixture solution including elements of Group IB, IIIA, VIA or combinations thereof is provided. The mixture solution is heated and filtered. IBIIIAVIA-group amorphous powders are acquired after drying the heated and filtered mixture solution.
    Type: Grant
    Filed: April 4, 2009
    Date of Patent: August 26, 2014
    Assignee: Industrial Technology Research Institute
    Inventors: Yu Huang, Chiou Yen Chiou, Bing Joe Hwang, Hsuan-Fu Wang, Shih-Hong Chang, Chih-Lung Lin, Chih-Chung Wu
  • Patent number: 8802193
    Abstract: A coating method is disclosed. The coating method comprises placing a substrate and a biomolecule in a chamber and applying a vapor deposition process within the chamber so as to form a solid deposition of the biomolecule on at least a portion of a surface of the substrate.
    Type: Grant
    Filed: August 13, 2008
    Date of Patent: August 12, 2014
    Assignee: Ramot at Tel-Aviv University Ltd.
    Inventors: Ehud Gazit, Lihi Adler-Abramovich, Daniel Aronov, Gil Rosenman
  • Patent number: 8796646
    Abstract: A method of depositing material onto a substrate at cryogenic temperatures using beam-induced deposition. A precursor gas is chosen from a group of compounds having a melting point that is lower than the cryogenic temperature of the substrate. Preferably the precursor gas is chosen from a group of compounds having a sticking coefficient that is between 0.5 and 0.8 at the desired cryogenic temperature. This will result in the precursor gas reaching equilibrium between precursor molecules adsorbed onto the substrate surface and precursor gas molecules desorbing from the substrate surface at the desired cryogenic temperature. Suitable precursor gases can comprise alkanes, alkenes, or alkynes. At a cryogenic temperature of between ?50° C. and ?85° C., hexane can be used as a precursor gas to deposit material; at a cryogenic temperature of between ?50° C. and ?180° C., propane can be used as a precursor gas.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: August 5, 2014
    Assignee: Fei Company
    Inventors: Johannes Jacobus Lambertus Mulders, Petrus Hubertus Franciscus Trompenaars
  • Publication number: 20140191126
    Abstract: A process of preparing a lamella from a substrate includes manufacturing a protection strip on an edge portion of the lamella to be prepared from the substrate, and preparing the lamella, wherein the manufacturing the protection strip includes a first phase of activating a surface area portion of the substrate, and a second phase of electron beam assisted deposition of the protective strip on the activated surface area portion from the gas phase.
    Type: Application
    Filed: March 10, 2014
    Publication date: July 10, 2014
    Applicant: Carl Zeiss Microscopy GmbH
    Inventors: Heinz Wanzenboeck, Wolfram Buehler, Holger Doemer, Carl Kuebler, Daniel Fischer, Gottfried Hochleitner, Emmerich Bertagnolli
  • Publication number: 20140186550
    Abstract: Disclosed herein is a scaled method for producing substantially aligned carbon nanotubes by depositing onto a continuously moving substrate, (1) a catalyst to initiate and maintain the growth of carbon nanotubes, and (2) a carbon-bearing precursor. Products made from the disclosed method, such as monolayers of substantially aligned carbon nanotubes, and methods of using them are also disclosed.
    Type: Application
    Filed: March 13, 2014
    Publication date: July 3, 2014
    Inventors: Christopher H. Cooper, Hai-Feng Zhang, Richard Czerw
  • Patent number: 8765233
    Abstract: A method of forming a low-carbon silicon-containing film by CVD on a substrate having trenches includes: introducing a silicon-containing compound having three or less hydrocarbon units in its molecule and having a boiling temperature of 35° C. to 220° C.; applying RF power to the gas; and depositing a film on a substrate having trenches wherein the substrate is controlled at a temperature such that components of the silicon-containing compound are at least partially liquidified on the substrate, thereby filling the trenches with the film.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: July 1, 2014
    Assignee: ASM Japan K.K.
    Inventors: Atsuki Fukazawa, Hisashi Tazawa, Shigeyuki Onizawa
  • Patent number: 8765234
    Abstract: A method and apparatus for tailoring the formation of active species using one or more electron beams to improve gap-fill during an integrated circuit formation process is disclosed herein. The energy of the electron beams may be decreased to maximize electrons leading to radicals or increased to maximize electrons leading to ions, depending on the fill application. An apparatus comprising multiple impinging jets of gas perpendicular to one or more electron beams is also disclosed.
    Type: Grant
    Filed: April 17, 2012
    Date of Patent: July 1, 2014
    Assignee: Applied Materials, Inc.
    Inventor: Matthew S. Rogers
  • Publication number: 20140175054
    Abstract: In one embodiment, a gas distribution assembly includes an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources, a perforated plate bounding at least one side of each of the plurality of plenums, at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber, and a variable power source coupled to each of the radiant energy sources positioned within each of the plurality of plenums.
    Type: Application
    Filed: March 3, 2014
    Publication date: June 26, 2014
    Applicant: Applied Materials, Inc.
    Inventors: David Keith CARLSON, Satheesh KUPPURAO, Howard BECKFORD, Herman DINIZ, Kailash Kiran PATALAY, Brian Hayes BURROWS, Jeffery Ronald CAMPBELL, Zuoming ZHU, Xiaowei LI, Errol Antonio SANCHEZ
  • Patent number: RE45124
    Abstract: Methods of forming titanium-containing films by atomic layer deposition are provided. The methods comprise delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula I: wherein: R is C1-C6-alkyl; n is zero, 1, 2, 3, 4 or 5; L is C1-C6-alkoxy or amino, wherein the amino is optionally independently substituted 1 or 2 times with C1-C6-alkyl.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: September 9, 2014
    Assignee: Sigma-Aldrich Co. LLC
    Inventors: Peter Nicholas Heys, Andrew Kingsley, Fuquan Song, Paul Williams, Thomas Leese, Hywel Owen Davies, Rajesh Odedra