Radiation Modifying Product Or Process Of Making Patents (Class 430/4)
  • Patent number: 11978633
    Abstract: Method of doping a semiconductor sample in a uniform and carbon-free way, wherein said sample has a surface, comprising the following steps: A. removing oxides from at least part of the said surface; B. dip coating said at least part of the surface of the sample in a dopant based carbon-free solution of at least one dopant based carbon free substance diluted in water, wherein said at least one dopant based carbon free substance has a molecule comprising at least one dopant atom, wherein the dip coating is achieved by heating said dopant based carbon-free solution at a dip coating temperature from 65% to 100% of the boiling temperature of said dopant based carbon-free solution, thereby a self-assembled mono-layer including dopant atoms is formed; C. annealing said sample, wherein the annealing is configured to cause said dopant atoms included in said self-assembled mono-layer to be diffused into the sample.
    Type: Grant
    Filed: May 8, 2020
    Date of Patent: May 7, 2024
    Assignee: Consiglio Nazionale Delle Ricerche
    Inventors: Rosaria Anna Puglisi, Sebastiano Caccamo
  • Patent number: 11914285
    Abstract: The present invention provides an FPD pellicle frame body in which external color is controlled so as to make it easy to prevent scattering of exposure light, to perform the foreign object non-adhesion inspection before use, and the like, and a method for manufacturing the frame body efficiently. The FPD pellicle frame body of the present invention comprises: a stainless-steel member having a transparent oxide coating, and a film thickness of the transparent oxide coating being 420 nm to 700 nm. It is preferable that a brightness index L* due to the interference color of the reflected lights from the surface of the transparent oxide coating and the surface of the stainless-steel member is 33 or less.
    Type: Grant
    Filed: August 23, 2019
    Date of Patent: February 27, 2024
    Assignee: NIPPON LIGHT METAL COMPANY, LTD.
    Inventors: Naoto Komura, Koichi Nakano, Akira Iizuka
  • Patent number: 11798705
    Abstract: A system and method for making an anti-scatter grid device is provided. The method may include providing a mold including one or more orientation structures arranged in first positions. The method may also include placing a plurality of plates including a first material into at least one of the orientation structures, and injecting a second material into a first cavity in the mold formed by the plurality of plates and the orientation structures. The method may further include separating the plurality of plates and the hardened second material from the mold to generate a first module.
    Type: Grant
    Filed: December 27, 2021
    Date of Patent: October 24, 2023
    Assignee: SHANGHAI UNITED IMAGING HEALTHCARE CO., LTD.
    Inventors: Ronald Sharpless, Patrick Kling
  • Patent number: 11567450
    Abstract: A quantum simulator includes a pseudo speckle pattern generator, a main vacuum chamber, an atomic gas supply unit, a light beam generator, a photodetector, and an atom number detector. The pseudo speckle pattern generator generates a pseudo speckle pattern in the inside of the main vacuum chamber by light allowed to enter the inside of the main vacuum chamber through the second window. The pseudo speckle pattern generator includes a controller, a light source, a beam expander, a spatial light modulator, and a lens. The controller sets a modulation distribution of the spatial light modulator based on a two-dimensional pseudo random number pattern.
    Type: Grant
    Filed: September 23, 2020
    Date of Patent: January 31, 2023
    Assignees: HAMAMATSU PHOTONICS K.K., INTER-UNIVERSITY RESEARCH INSTITUTE CORPORATION
    Inventors: Hiroto Sakai, Kenji Ohmori, Taro Ando, Nobuyuki Takei, Haruyoshi Toyoda, Yoshiyuki Ohtake, Tomoko Hyodo, Yuu Takiguchi
  • Patent number: 11417547
    Abstract: A peeling apparatus includes a discarding unit configured to discard a protective member by stacking the protective member in a trash box. The discarding unit includes an inclined dropping unit configured to drop the protective member obliquely downward to an opening of the trash box, and a first inclined plate and a second inclined plate that arranged so as to face each other in a direction orthogonal to a traveling direction of the protective member when the protective member dropping obliquely downward above the opening is viewed from above. The first inclined plate and the second inclined plate are arranged such that a gap through which the protective member can pass is formed between a lower side of the first inclined plate and a lower side of the second inclined plate, and the first inclined plate and the second inclined plate are inclined.
    Type: Grant
    Filed: January 27, 2022
    Date of Patent: August 16, 2022
    Assignee: DISCO CORPORATION
    Inventor: Gentaro Tsuruta
  • Patent number: 10000633
    Abstract: A fluorine-containing cyclic olefin polymer composition of the present invention includes a fluorine-containing cyclic olefin polymer (A) containing a repeating structural unit represented by the general formula (1) and having a fluorine atom content rate of 40 to 75% by mass; a photocurable compound (B); and a photocuring initiator (C).
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: June 19, 2018
    Assignee: MITSUI CHEMICALS, INC.
    Inventors: Tadahiro Sunaga, Takashi Oda
  • Patent number: 9670580
    Abstract: In a method for depositing layers on one or more substrates arranged in a process chamber, at least one carbon-containing gaseous source material is used in at least one deposition step. During layer growth on the one or more substrates, parasitic coatings are also deposited on the wall surfaces of the process chamber. After removing the one or more substrates from the process chamber, a gas flow containing one or more cleaning gases is introduced into the process chamber and the process chamber is heated to a cleaning temperature. The parasitic coatings are transformed into volatile substances, which are removed from the process chamber with the gas flow. To remove a carbon-containing residue on the wall surfaces, an ammonia cleaning step is performed in which the carbon-containing residue reacts with ammonia to form a volatile compound which is removed from the process chamber with the gas flow.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: June 6, 2017
    Assignee: AIXTRON SE
    Inventors: Martin Eickelkamp, Thomas Krücken
  • Patent number: 9075314
    Abstract: A photomask blank for producing a photomask to which an ArF excimer laser light is applied. The blank includes a light transmissive substrate on which a thin film having a multilayer structure is provided. The thin film has a light-shielding film in which a back-surface antireflection layer, a light-shielding layer and a front-surface antireflection layer are laminated in this order. The light-shielding layer comprises chromium and nitrogen, and the chromium content is more than 50 atomic %. The front-surface antireflection layer and the back-surface antireflection layer each has an amorphous structure made of a material comprising chromium, nitrogen, oxygen and carbon. The chromium content ratio of the front-surface antireflection layer and the back-surface antireflection layer is 40 atomic % or less. A first sum of nitrogen content and oxygen content of the back-surface antireflection layer is less than a second sum of nitrogen content and oxygen content of the front-surface antireflection layer.
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: July 7, 2015
    Assignee: HOYA CORPORATION
    Inventors: Hiroyuki Iwashita, Hiroaki Shishido, Atsushi Kominato, Masahiro Hashimoto, Morio Hosoya
  • Patent number: 9009633
    Abstract: A method of correcting assist features includes the following steps. At first, a first layout pattern is received by a computer system, and the first layout pattern is split into a plurality of first regions. Subsequently, a plurality of assist features are added into the first layout pattern to form a second layout pattern, wherein at least one of the assist features neighboring any one of the edges of the first regions is defined as a selected pattern. Then, the second layout pattern is split into a plurality of second regions. Afterwards, a check step is performed on the second region including the selected pattern, and the second layout pattern is corrected to form a corrected second layout pattern.
    Type: Grant
    Filed: May 6, 2013
    Date of Patent: April 14, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Tsung-Yeh Wu, Chin-Lung Lin, Yao-Jen Fan, Wei-Han Chien, Chia-Chun Tsai
  • Patent number: 8980504
    Abstract: A method is provided for creation of a substrate fluorescence mask having background color(s), UV mark color(s), and distraction color(s), to be printed as an image on a substrate containing optical brightening agents. The method includes selecting one or more UV mark colors for the mask such that the UV mark colors exhibit low contrast against the background color(s) under normal illumination and high contrast against the background color(s) under UV illumination. One or more distraction colors are also selected, such that the distraction color(s) exhibit low contrast against the background color(s) under UV illumination and exhibit high contrast against the background color(s) under normal illumination. A distraction pattern, formed from one or more distraction colors, is also selected.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: March 17, 2015
    Assignee: Xerox Corporation
    Inventors: Raja Bala, Reiner Eschbach
  • Patent number: 8956787
    Abstract: To provide an EUV mask blank provided with a low reflective layer, which has excellent properties as an EUV mask blank. A reflective mask blank for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light, an absorber layer for absorbing EUV light and a low reflective layer to an inspection light (wavelength: 190 to 260 nm) for a mask pattern, formed in this order on the substrate, wherein the low reflective layer has a stacked structure having a first layer containing at least 95 at % in total of silicon (Si) and nitrogen (N), and a second layer containing at least 95 at % in total of tantalum (Ta), oxygen (O) and nitrogen (N) or a second layer containing at least 95 at % in total of tantalum (Ta) and nitrogen (N), stacked in this order from the absorber layer side.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: February 17, 2015
    Assignee: Asahi Glass Company, Limited
    Inventors: Toshiyuki Uno, Kazuyuki Hayashi
  • Patent number: 8956788
    Abstract: A pellicle is proposed wherein the mask-bonding agglutinant layer coated on one annular face of the pellicle frame is designed to have a cross-section which is trapezoidal (including the case of rectangle) so that the angle alpha (?) included between the side wall of the agglutinant layer and said annular face is 90 degrees or smaller.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: February 17, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Yoshihiko Nagata
  • Patent number: 8927179
    Abstract: There are provided an EUV optical member, in which deterioration in the reflectivity due to oxidation of the Ru protective layer is prevented, a functional film-equipped substrate to be employed for production of the EUV optical member, and a process for producing the functional film-equipped substrate. A reflective layer-equipped substrate for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 20 at % of oxygen and from 80 to 99.5 at % of Si is formed between the reflective layer and the protective layer.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: January 6, 2015
    Assignee: Asahi Glass Company, Limited
    Inventor: Masaki Mikami
  • Patent number: 8930859
    Abstract: Embodiments relate to a method of decomposing a layout of a semiconductor device. The method may include generating a pattern layout including first patterns and second patterns, generating an interference map for the pattern layout, the interference map including optical interference information regarding the first and second patterns, and decomposing the pattern layout into a first decomposition pattern layout including the first patterns, and a second decomposition pattern layout including the second patterns, based on the interference map. In the interference map, an influence of constructive interference on the first patterns may be greater than an influence of constructive interference on the second patterns.
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: January 6, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-Gon Jung
  • Patent number: 8921013
    Abstract: A lithographic mask reticle includes a first mask region having a first mask pattern configured for use in fabrication of electronic circuit structures, and a second mask region having a second mask pattern configured for use in fabrication of test structures. The second mask pattern includes all categories of structural patterns containing in the first mask pattern.
    Type: Grant
    Filed: July 12, 2013
    Date of Patent: December 30, 2014
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Chi-Yuan Hung, Bin Zhang, Ze Xi Deng, Li Guo Zhang
  • Patent number: 8893067
    Abstract: In one aspect, the present invention is directed to a technique of, and system for simulating, verifying, inspecting, characterizing, determining and/or evaluating the lithographic designs, techniques and/or systems, and/or individual functions performed thereby or components used therein. In one embodiment, the present invention is a system and method that accelerates lithography simulation, inspection, characterization and/or evaluation of the optical characteristics and/or properties, as well as the effects and/or interactions of lithographic systems and processing techniques.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: November 18, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Ye, Yen-Wen Lu, Yu Cao, Luoqi Chen, Xun Chen
  • Patent number: 8822103
    Abstract: A mask blank for manufacturing a transfer mask adapted to be applied with ArF excimer laser exposure light that has a transparent substrate and a light-shielding film formed into a transfer pattern. The light-shielding film has at least two-layers, one a lower layer composed mainly of a first material containing a transition metal, silicon, and nitrogen, and the other an upper layer composed mainly of a second material containing a transition metal, silicon, and nitrogen. A ratio of a first etching rate of the lower layer to a second etching rate of the upper layer is 1.0 or more and 5.0 or less in etching carried out by supplying a fluorine-containing substance onto a target portion and irradiating charged particles to the target portion. Another ratio satisfies the following formula CN??0.00526CMo2?0.640CMo=26.624.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: September 2, 2014
    Assignee: Hoya Corporation
    Inventors: Atsushi Kominato, Osamu Nozawa, Hiroyuki Iwashita, Masahiro Hashimoto
  • Patent number: 8778569
    Abstract: There is provided a pellicle having a pellicle frame on which an adhesive layer for attaching the pellicle onto a photo mask is made from a room temperature curable two-part adhesive, so that a formation of the adhesive layer is carried out without heating. The room temperature curable two-part adhesive dispensed on the pellicle frame is not heated for curing.
    Type: Grant
    Filed: October 7, 2010
    Date of Patent: July 15, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Horikoshi
  • Patent number: 8709340
    Abstract: Methods and systems for selection radiation exposure in sterilization of medical devices are disclosed.
    Type: Grant
    Filed: August 21, 2013
    Date of Patent: April 29, 2014
    Assignee: Abbott Cardiovascular Systems Inc.
    Inventors: Abigail Freeman, Gregory S. Simmons, Brian D. Riggs, Robert Harrison, Dan Castro, Bin Huang
  • Patent number: 8551346
    Abstract: A photomask-forming glass substrate having a square major surface is provided wherein two strip regions are defined on the major surface near a pair of opposed sides such that each region spans between 2 mm and 10 mm inward of the side and excludes end portions extending 2 mm inward from the opposed ends of the side, a least squares plane is computed for each of the two strip regions, the angle included between normal lines to the least squares planes of two strip regions is within 10 seconds, and the height difference between two strip regions is up to 0.5 ?m.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: October 8, 2013
    Assignee: Shin-Etsu Chemcial Co., Ltd.
    Inventors: Daijitsu Harada, Mamoru Morikawa, Masaki Takeuchi, Yukio Shibano
  • Patent number: 8524151
    Abstract: Methods and systems for selection radiation exposure in sterilization of medical devices are disclosed.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: September 3, 2013
    Assignee: Abbott Cardiovascular Systems Inc.
    Inventors: Abigail Freeman, Gregory S. Simmons, Brian D. Riggs, Robert Harrison, Dan Castro, Bin Huang
  • Patent number: 8518609
    Abstract: A photomask blank has a light-shielding film composed of at least two layers on a transparent substrate. The light-shielding film includes a light-shielding layer made of a material mainly containing tantalum nitride and containing less than 62 at % nitrogen. The material is capable of being dry-etched with a chlorine-based gas containing no oxygen. The light-shielding film further includes a front-surface antireflection layer formed on the light-shielding layer and made of a material not capable of being dry-etched with a chlorine-based gas, but capable of being dry-etched with a fluorine-based gas.
    Type: Grant
    Filed: May 9, 2012
    Date of Patent: August 27, 2013
    Assignee: Hoya Corporation
    Inventor: Osamu Nozawa
  • Patent number: 8512916
    Abstract: A photomask blank for producing a photomask to which an ArF excimer laser light is applied. The blank includes a light transmissive substrate on which a thin film having a multilayer structure is provided. The thin film has a light-shielding film in which a back-surface antireflection layer, a light-shielding layer and a front-surface antireflection layer are laminated in this order. The light-shielding layer comprises chromium and nitrogen, and the chromium content is more than 50 atomic %. The front-surface antireflection layer and the back-surface antireflection layer each has an amorphous structure made of a material comprising chromium, nitrogen, oxygen and carbon. The chromium content ratio of the front-surface antireflection layer and the back-surface antireflection layer is 40 atomic % or less. A first sum of nitrogen content and oxygen content of the back-surface antireflection layer is less than a second sum of nitrogen content and oxygen content of the front-surface antireflection layer.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: August 20, 2013
    Assignee: Hoya Corporation
    Inventors: Hiroyuki Iwashita, Hiroaki Shishido, Atsushi Kominato, Masahiro Hashimoto, Morio Hosoya
  • Patent number: 8513332
    Abstract: Disclosed is a color filter ink composition that includes an acrylic-based copolymer resin including repeating units represented by a particular chemical formula, a polymerizable monomer, a pigment, and a solvent. According to the present invention, the color filter ink composition can have excellent ejection properties and ejection stability by using a new acrylic-based copolymer resin as a binder resin, and also can have excellent storage stability, so that it can be used for a long period. In addition, a pattern formed using the color filter ink composition can have improved heat resistance, chemical resistance, and film strength.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: August 20, 2013
    Assignee: Cheil Industries Inc.
    Inventors: Jong-Seung Park, In-Jae Lee, Jin-Ki Hong, Seung-Joo Shin, Sung-Woong Kim, Tae-Woon Cha
  • Patent number: 8507155
    Abstract: A photomask blank for a photomask used with an ArF excimer laser. The photo mask has a light transmissive substrate with a surface on which a light-shielding film is formed. The light-shielding film has a laminated structure comprising a back-surface antireflection layer, a light-shielding layer and a front-surface antireflection layer. The thickness of the entire light-shielding film is 70 nm or less. The back-surface antireflection layer comprises a film containing a metal and having first etching rate. The front-surface antireflection layer comprises a film containing a metal and having a third etching rate. The light-shielding layer comprises a film containing the same metal as that contained in the back-surface antireflection layer or the front-surface antireflection layer, with a second etching rate that is lower than the first and third etching rates. The thickness of the light-shielding layer is 45% or less of the thickness of the entire light-shielding film.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: August 13, 2013
    Assignee: Hoya Corporation
    Inventors: Hiroyuki Iwashita, Hiroaki Shishido, Atsushi Kominato, Masahiro Hashimoto
  • Patent number: 8445165
    Abstract: A pellicle for lithography is provided that includes a pellicle frame provided with one or more atmospheric pressure adjustment holes having an inner peripheral face with a shape that opens out in going toward the inside of the pellicle frame. There is also provided a process for producing the pellicle for lithography, the process comprising a step of forming the pellicle for lithography and a step of spray-coating a pressure-sensitive adhesive composition from inside the pellicle frame.
    Type: Grant
    Filed: November 11, 2010
    Date of Patent: May 21, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Yuichi Hamada
  • Patent number: 8423925
    Abstract: According to various embodiments of the invention, systems and methods for system and methods for compressed post-OPC data created during the design and manufacturing of integrated circuits. In one embodiment of the invention, the method begins by generating a post-OPC layout from a circuit layout during the design phase of a circuit. This post-OPC layout is generated by way of an OPC process. Next, a set of differences between the post-OPC layout and the circuit layout are calculated and a dataset containing these differences are generated In some embodiments the dataset is generated during the OPC process.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: April 16, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 8423924
    Abstract: According to various embodiments of the invention, systems and methods for system and methods for compressed post-OPC data created during the design and manufacturing of integrated circuits. In one embodiment of the invention, the method begins by generating a post-OPC layout from a circuit layout during the design phase of a circuit. This post-OPC layout is generated by way of an OPC process. Next, a set of differences between the post-OPC layout and the circuit layout are calculated and a dataset containing these differences are generated In some embodiments the dataset is generated during the OPC process.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: April 16, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 8409770
    Abstract: A blank mask includes a substrate, a multilayer reflection layer disposed over the substrate, a capping layer disposed over the multilayer reflection layer, a self-assembled monolayer disposed over the capping layer, a buffer layer disposed over the self-assembled monolayer, and an absorption layer disposed over the buffer layer.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: April 2, 2013
    Assignee: SK Hynix Inc.
    Inventor: Soo Kyeong Jeong
  • Patent number: 8394557
    Abstract: A lithographic pellicle comprises a pellicle film (1), a pellicle frame (3), and a PSA layer (4). The pellicle film (1) is stretched across and mounted to the pellicle frame (3) at its top end. The PSA layer (4) is disposed on the bottom end of the frame (3) and is capable of attaching the frame (3) to a substrate (5). An inner PSA layer (8) made of a curable composition comprising a perfluoro compound having a perfluoro structure backbone is disposed on the inner wall of the frame (3).
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: March 12, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Toru Shirasaki, Kenichi Fukuda
  • Patent number: 8379311
    Abstract: A micro-lens array and a method for fabricating a micro-lens includes forming a first lens formation material layer on and/or over a micro-lens formation area of a semiconductor substrate, and then forming a portion of the lens formation material layer as a first micro-lens using a first mask. A second lens formation material layer is formed adjacent to the first micro-lens on and/or over the micro-lens formation area. The second lens formation material layer is also formed as a second micro-lens using a second mask which is a different type from that of the first mask.
    Type: Grant
    Filed: June 17, 2011
    Date of Patent: February 19, 2013
    Assignee: Dongbu HiTek Co., Ltd.
    Inventors: Young Je Yun, Jin Ho Park
  • Patent number: 8354205
    Abstract: A mask blank for producing a transfer mask adapted to ArF excimer laser exposure light. The mask blank has a light-shielding film on a transparent substrate. The light-shielding film has a structure in which a light-shielding layer and a front-surface antireflection layer are laminated in this order from the transparent substrate. The light-shielding layer is made of a material containing tantalum and nitrogen. The front-surface antireflection layer is made of material containing tantalum and silicon and further containing one or more elements selected from oxygen and nitrogen.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: January 15, 2013
    Assignee: Hoya Corporation
    Inventor: Osamu Nozawa
  • Patent number: 8304724
    Abstract: The edges of the reticle are detected with respect to the microstructured patterns exposed by the stepper, and the shapes of the microstructured patterns at the surface and at the bottom of the photoresist are detected. The microstructured patterns are evaluated by calculating, and displaying on the screen, the dislocation vector that represents the relationship in position between the detected patterns on the surface and at the bottom of the photoresist. Furthermore, dislocation vectors between the microstructured patterns at multiple positions in a single-chip or single-shot area or on one wafer are likewise calculated, then the sizes and distribution status of the dislocation vectors at each such position are categorized as characteristic quantities, and the corresponding tendencies are analyzed. Thus, stepper or wafer abnormality is detected.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: November 6, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Fumihiro Sasajima, Osamu Komuro, Fumio Mizuno
  • Patent number: 8301291
    Abstract: A charged particle beam writing apparatus according to an embodiment, includes a storage device configured to store write data which is to be written by using a charged particle beam and in which a plurality of patterns with different writing precision is defined; a cutout unit configured to read data of each pattern from the storage device and to cut out a partial pattern, among a pattern, in the plurality of patterns, whose writing precision is on a low-precision side, positioned within a range of influence of a proximity effect from a region edge of a pattern, in the plurality of patterns, whose writing precision is on a high-precision side; a merge processing unit configured to perform merge processing of a cut-out partial pattern on the low-precision side and the pattern on the high-precision side; and a pattern writing unit configured to write a pattern obtained by the merge processing and a remaining partial pattern on the low-precision side remaining without being merged with the pattern on the high-p
    Type: Grant
    Filed: May 16, 2011
    Date of Patent: October 30, 2012
    Assignee: NuFlare Technology, Inc.
    Inventor: Takashi Kamikubo
  • Patent number: 8278220
    Abstract: A microscopic metallic structure is produced by creating or exposing a patterned region of increased conductivity and then forming a conductor on the region using electrodeposition. In some embodiments, a microscopic metallic structure is formed on a substrate, and then the substrate is etched to remove the structure from the substrate. In some embodiments, a focused beam of gallium ion without a deposition precursor gas scans a pattern on a silicon substrate, to produce a conductive pattern on which a copper structure is then formed by electrochemical deposition of one or more metals. The structure can be freed from the substrate by etching, or can used in place. A beam can be used to access an active layer of a transistor, and then a conductor can be electrodeposited to provide a lead for sensing or modifying the transistor operation while it is functioning.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: October 2, 2012
    Assignee: FEI Company
    Inventors: Theresa Holtermann, Anthony Graupera, Michael Dibattista
  • Patent number: 8268513
    Abstract: A method of manufacturing a mask blank includes a thin film forming step of forming a thin film, which becomes a mask pattern, on a mask blank substrate and a resist film forming step of forming a resist film on the thin film. The method further includes a step of storing resist film forming information including information about a date of formation of the resist film on the thin film, a step of correlating the resist film forming information with the mask blank, a step of identifying, based on the resist film forming information, the mask blank having the resist film whose sensitivity change exceeds an allowable range, a step of stripping the resist film formed in the identified mask blank, and a step of forming again a resist film on the thin film stripped of the resist film.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: September 18, 2012
    Assignee: Hoya Corporation
    Inventor: Yasushi Okubo
  • Publication number: 20120212721
    Abstract: Mirrors having a reflecting coating for the EUV wavelength region and a substrate. A surface region of the substrate extends uniformly below the reflecting coating along this coating and, seen from the surface of the substrate, has a depth of down to 5 ?m. Here, this surface region has a 2% higher density than the remaining substrate. Also disclosed are substrates that likewise have such surface regions and methods for producing such mirrors and substrates having such surface regions by irradiation using ions or electrons.
    Type: Application
    Filed: February 17, 2012
    Publication date: August 23, 2012
    Applicant: CARL ZEISS SMT GMBH
    Inventors: Wilfried CLAUSS, Martin WEISER
  • Patent number: 8243273
    Abstract: A semiconductor wafer may include a dummy field configured to enable overlay measurements. The enhanced dummy field may include a plurality of encoding blocs that enable OVL measurements to be made throughout the enhanced dummy field.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: August 14, 2012
    Assignee: KLA-Tencor Corporation
    Inventors: Vladimir Levinski, Michael Adel, Mark Ghinovker, Alexander Svizher
  • Publication number: 20120196208
    Abstract: Provided are a multilayer mirror for EUVL in which deterioration in reflectivity due to oxidation of a Ru protective layer is prevented, and a process for its production. A multilayer mirror for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 25 at % of nitrogen and from 75 to 99.5 at % of Si is formed between the reflective layer and the protective layer.
    Type: Application
    Filed: April 10, 2012
    Publication date: August 2, 2012
    Applicant: Asahi Glass Company, Limited
    Inventors: Masaki MIKAMI, Mitsuhiko Komakine, Yoshiaki Ikuta
  • Patent number: 8193100
    Abstract: A method of manufacturing an exposure mask includes generating or preparing flatness variation data relating to a mask blanks substrate to be processed into an exposure mask, the flatness variation data being data relating to change of flatness of the mask blank substrate caused when the mask blank substrate is chucked by a chuck unit of an exposure apparatus, generating position correction data of a pattern to be drawn on the mask blanks substrate based on the flatness variation data such that a mask pattern of the exposure mask comes to a predetermined position in a state that the exposure mask is chucked by the chuck unit, and drawing a pattern on the mask blanks substrate, the drawing the pattern including drawing the pattern with correcting a drawing position of the pattern and inputting drawing data corresponding to the pattern and the position correction data into a drawing apparatus.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: June 5, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Patent number: 8173330
    Abstract: A pellicle is provided that includes an aluminum pellicle frame having an anodized layer on its entire surface; and a pellicle film stretched over and affixed to an end face of the pellicle frame, the anodized layer having a thickness of 4 to 8 ?m.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: May 8, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Toru Shirasaki
  • Patent number: 8163448
    Abstract: The present invention provides a method of determining a structure of an antireflection coating formed on a substrate as an exposure target of an exposure apparatus, the method comprising steps of calculating, an intensity distribution of light diffracted by an original, based on information of an effective light source formed on a pupil plane of a projection optical system, and information of an original pattern, extracting diffracted light having an intensity of not less than a threshold from the intensity distribution calculated in the calculating step, and determining the structure of the antireflection coating, formed on the substrate, such that a reflectance of the antireflection coating falls within a target range when an incident angle of the diffracted light, which has the intensity of not less than the threshold and is extracted in the extracting step, on the antireflection coating formed on the substrate is an input.
    Type: Grant
    Filed: January 11, 2010
    Date of Patent: April 24, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Manabu Hakko, Kenji Yamazoe
  • Patent number: 8137868
    Abstract: A photomask blank has a light-shielding film composed of at least two layers on a transparent substrate. The light-shielding film includes a light-shielding layer made of a material mainly containing tantalum nitride and further containing xenon and a front-surface antireflection layer formed on the light-shielding layer and made of a material mainly containing tantalum oxide and further containing argon.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: March 20, 2012
    Assignee: Hoya Corporation
    Inventor: Osamu Nozawa
  • Patent number: 8137867
    Abstract: A photomask blank has a light-shielding film composed of at least two layers on a transparent substrate. The light-shielding film includes a light-shielding layer made of a material mainly containing tantalum nitride and further containing xenon and a front-surface antireflection layer formed on the light-shielding layer and made of a material mainly containing tantalum oxide and further containing argon.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: March 20, 2012
    Assignee: Hoya Corporation
    Inventor: Osamu Nozawa
  • Patent number: 8105757
    Abstract: Disclosed is a method of making a semiconductor device in which a main pattern is formed through a photolithography process over a low-density pattern area having a relatively small number of patterns to be formed in certain areas as compared to the other areas. According to the method at least one or more dummy patterns are formed over inactive areas, adjacent to active areas, where the main pattern is formed, and are spaced a predetermined distance from the sides of the main pattern. This method can improve the process margin and improve the uniformity of critical regions of patterns to thus improve the yield of a semiconductor device by making a low-density pattern area with the same pattern density as high-density or intermediate-density pattern areas by forming dummy patterns, which do not affect the semiconductor device, on the sides of a main pattern of the low-density pattern area according to a design rule.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: January 31, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Seung Choi
  • Patent number: 8103980
    Abstract: A beam dose computing method includes specifying a matrix of rows and columns of regions as divided from a surface area of a target object to include first, second and third regions of different sizes, the third regions being less in size than the first and second regions, determining first corrected doses of a charged particle beam for correcting fogging effects in the first regions, determining corrected size values for correcting pattern line width deviations occurring due to loading effects in the second regions, using said corrected size values in said second regions to create a map of base doses of the beam in respective ones of said second regions, using said corrected size values to prepare a map of proximity effect correction coefficients in respective ones of said second regions, using the maps to determine second corrected doses of said beam for correction of proximity effects in said third regions, and using the first and second corrected doses to determine an actual beam dose at each position on
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: January 24, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Keiko Emi, Junichi Suzuki, Takayuki Abe, Tomohiro Iljima, Jun Yashima
  • Patent number: 8103984
    Abstract: According to various embodiments of the invention, systems and methods are provided for compressed design phase contour data created during the manufacturing of integrated circuits. In one embodiment of the invention, the method begins by generating a contour layout from a target layout during the design phase of a circuit. This contour layout is generated by way of a contour generator tool. Next, a set of differences between the contour layout and the target layout are calculated. A dataset containing these differences is generated. In some embodiments, the contour generator uses a post-optical proximity correction (OPC) layout of the target layout in order to generate the contour layout.
    Type: Grant
    Filed: February 23, 2009
    Date of Patent: January 24, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 8088537
    Abstract: The present invention relates to a resist top coat composition and a patterning process adopting such a material, which resist top coat composition is provided for forming a top coat on a photoresist film so as to protect the photoresist film, in liguid immersion photolithography.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: January 3, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Yuji Harada
  • Patent number: 8053346
    Abstract: A gate in a semiconductor device is formed to have a dummy gate pattern that protects a gate. Metal lines are formed to supply power for a semiconductor device and transfer a signal. A semiconductor device includes a quad coupled receiver type input/output buffer. The semiconductor device is formed with a gate line that extends over an active region, and a gate pad located outside of the active region. The gate line and the gate pad are adjoined such that the gate line and a side of the gate pad form a line. Dummy gates may also be applied. The semiconductor device includes a first metal line patterns supplying power to a block having a plurality of cells, a second metal line pattern transferring a signal to the cells, and dummy metal line patterns divided into in a longitudinal direction.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: November 8, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Nam Gyu Ryu, Ho Ryong Kim, Won John Choi, Jae Hwan Kim, Seoung Hyun Kang, Young Hee Yoon
  • Patent number: 8026023
    Abstract: A lithographic pellicle is provided that includes a pellicle frame, a pellicle film stretched over one end face of the pellicle frame via a pellicle film adhesive, and an exposure master plate adhesive provided on the other end face, wherein corners formed between a pellicle film adhesion face and exposure master plate adhesion face of the pellicle frame and inside and outside faces of the frame are subjected to C chamfering, and the chamfer dimension on the exposure master plate adhesion face is greater than C0.35 (mm) but no greater than C0.55 (mm).
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: September 27, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Yuichi Hamada