Plasma (e.g., Glow Discharge, Etc.) Patents (Class 438/513)
  • Patent number: 11923177
    Abstract: A plasma processing apparatus includes: a processing container having a vertical tubular shape and an opening formed in a side wall of the processing container, the processing container configured to accommodate a plurality of substrates in multiple stages; a plasma partition wall airtightly provided on an outer wall of the processing container and configured to cover the opening and define a plasma generation space; a plasma electrode provided along the plasma partition wall; and a processing gas supplier provided outside the plasma generation space and configured to supply a plasma generation gas.
    Type: Grant
    Filed: July 6, 2021
    Date of Patent: March 5, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Keiji Tabuki, Yamato Tonegawa, Kazumasa Igarashi, Kazuo Yabe
  • Patent number: 11769668
    Abstract: A p+ or n+ type doping process for semiconductors, allows to implement a semiconductor with a highly doped surface layer, and it comprises the steps of: providing a substrate made of semiconductor material; depositing on a surface of 5 the substrate made of semiconductor material a thin source layer made of dopant material acting as dopant source; depositing on said source layer an additional protective surface layer made of semiconductor material; inducing liquefaction of the surface layer at least until the source layer; and cooling down the substrate surface so as to obtain the diffusion of the dopant material.
    Type: Grant
    Filed: April 20, 2021
    Date of Patent: September 26, 2023
    Assignee: ISTITUTO NAZIONALE DI FISICA NUCLEARE (INFN)
    Inventors: Gianluigi Maggioni, Davide De Salvador, Daniel Ricardo Napoli, Enrico Napolitani
  • Patent number: 11756772
    Abstract: An ion source assembly and method has a source gas supply to provide a molecular carbon source gas to an ion source chamber. A source gas flow controller controls flow of the molecular carbon source gas to the ion source chamber. An excitation source excites the molecular carbon source gas to form carbon ions and radicals. An extraction electrode extracts the carbon ions from the ion source chamber, forming an ion beam. An oxidizing co-gas supply provides oxidizing co-gas to chamber. An oxidizing co-gas flow controller controls flow of the oxidizing co-gas to the chamber. The oxidizing co-gas decomposes and reacts with carbonaceous residues and atomic carbon forming carbon monoxide and carbon dioxide within the ion source chamber. A vacuum pump system removes the carbon monoxide and carbon dioxide, where deposition of atomic carbon within the ion source chamber is reduced and a lifetime of the ion source is increased.
    Type: Grant
    Filed: May 29, 2020
    Date of Patent: September 12, 2023
    Assignee: Axcelis Technologies, Inc.
    Inventors: David Sporleder, Neil Bassom, Neil K. Colvin, Mike Ameen, Xiao Xu
  • Patent number: 11664425
    Abstract: A method for fabricating p-type field effect transistor (FET) includes the steps of first providing a substrate, forming a pad layer on the substrate, forming a well in the substrate, performing an ion implantation process to implant germanium ions into the substrate to form a channel region, and then conducting an anneal process to divide the channel region into a top portion and a bottom portion. After removing the pad layer, a gate structure is formed on the substrate and a lightly doped drain (LDD) is formed adjacent to two sides of the gate structure.
    Type: Grant
    Filed: January 20, 2022
    Date of Patent: May 30, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Shi-You Liu, Tsai-Yu Wen, Ching-I Li, Ya-Yin Hsiao, Chih-Chiang Wu, Yu-Chun Liu, Ti-Bin Chen, Shao-Ping Chen, Huan-Chi Ma, Chien-Wen Yu
  • Patent number: 11646350
    Abstract: A p-type semiconductor region is formed in a front surface side of an n-type semiconductor substrate. An n-type field stop (FS) region including protons as a donor is formed in a rear surface side of the semiconductor substrate. A concentration distribution of the donors in the FS region include first, second, third and fourth peaks in order from a front surface to the rear surface. Each of the peaks has a peak maximum point, and peak end points formed at both sides of the peak maximum point. The peak maximum points of the first and second peaks are higher than the peak maximum point of the third peak. The peak maximum point of the third peak is lower than the peak maximum point of the fourth peak.
    Type: Grant
    Filed: February 4, 2021
    Date of Patent: May 9, 2023
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventors: Hiroki Wakimoto, Hiroshi Takishita, Takashi Yoshimura, Takahiro Tamura, Yuichi Onozawa
  • Patent number: 11610885
    Abstract: A method for forming a semiconductor structure includes forming a fin structure over a substrate. The method also includes forming a gate structure across the fin structure. The method also includes depositing a dopant source layer over the gate structure. The method also includes driving dopants of the dopant source layer into the fin structure. The method also includes removing the dopant source layer. The method also includes annealing the dopants in the fin structure to form a doped region. The method also includes etching the doped region and the fin structure below the doped region to form a recess. The method also includes growing a source/drain feature in the recess.
    Type: Grant
    Filed: July 9, 2020
    Date of Patent: March 21, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Cheng Chen, Chia-Ling Chan, Liang-Yin Chen, Huicheng Chang
  • Patent number: 11476352
    Abstract: Doping techniques for fin-like field effect transistors (FinFETs) are disclosed herein. An exemplary method includes forming a fin structure, forming a doped amorphous layer over a portion of the fin structure, and performing a knock-on implantation process to drive a dopant from the doped amorphous layer into the portion of the fin structure, thereby forming a doped feature. The doped amorphous layer includes a non-crystalline form of a material. In some implementations, the knock-on implantation process crystallizes at least a portion of the doped amorphous layer, such that the portion of the doped amorphous layer becomes a part of the fin structure. In some implementations, the doped amorphous layer includes amorphous silicon, and the knock-on implantation process crystallizes a portion of the doped amorphous silicon layer.
    Type: Grant
    Filed: December 14, 2020
    Date of Patent: October 18, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Sai-Hooi Yeong, Sheng-Chen Wang, Bo-Yu Lai, Ziwei Fang, Feng-Cheng Yang, Yen-Ming Chen
  • Patent number: 11430654
    Abstract: Exemplary deposition methods may include forming a plasma of an oxygen-containing precursor within a processing region of a semiconductor processing chamber. The processing region may house a semiconductor substrate on a substrate support. The methods may include, while maintaining the plasma of the oxygen-containing precursor, flowing a silicon-containing precursor into the processing region of the semiconductor processing chamber at a first flow rate. The methods may include ramping the first flow rate of the silicon-containing precursor over a period of time to a second flow rate greater than the first flow rate. The methods may include depositing a silicon-containing material on the semiconductor substrate.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: August 30, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Madhu Santosh Kumar Mutyala, Sanjay Kamath, Deenesh Padhi
  • Patent number: 11424164
    Abstract: In one embodiment, a method may include providing a substrate, comprising a plurality of surface features, an isolation layer, disposed between the plurality of surface features, and a substrate base, disposed subjacent the isolation layer and the plurality of surface features, wherein the plurality of surface features extend above a surface of the isolation layer. The method may include directing a low energy ion beam to the substrate, when the substrate is heated at a targeted temperature, wherein an altered layer is formed within an outer portion of the isolation layer, and wherein an inner portion of the isolation layer is not implanted.
    Type: Grant
    Filed: August 28, 2020
    Date of Patent: August 23, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Andrew Michael Waite, Johannes M. van Meer, Jae Young Lee
  • Patent number: 11393678
    Abstract: Methods for deposition of high-hardness low-? dielectric films are described. More particularly, a method of processing a substrate is provided. The method includes flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate, the precursor having the general formula (I) wherein R1, R2, R3, R4, R5, R6, R7, and R8 are independently selected from hydrogen (H), alkyl, alkoxy, vinyl, silane, amine, or halide; maintaining the substrate at a pressure in a range of about 0.1 mTorr and about 10 Torr and at a temperature in a range of about 200° C. to about 500° C.; and generating a plasma at a substrate level to deposit a dielectric film on the substrate.
    Type: Grant
    Filed: August 10, 2020
    Date of Patent: July 19, 2022
    Assignee: Applied Materials, Inc.
    Inventors: William J. Durand, Mark Saly, Lakmal C. Kalutarage, Kang Sub Yim, Shaunak Mukherjee
  • Patent number: 11133318
    Abstract: The present disclosure provides a semiconductor structure. The semiconductor structure includes: a polysilicon layer, having a first surface and a second surface opposite to the first surface; a substrate, disposed on the second surface of the polysilicon layer; a bit line structure, disposed on the substrate, penetrating through the polysilicon layer and protruding from the first surface of the polysilicon layer; and a spacer structure, disposed on lateral sidewalls of the bit line structure, including an air gap sandwiched by a first dielectric layer and a second dielectric layer, wherein a first portion of the second dielectric layer is in the polysilicon layer, a second portion of the second dielectric layer is outside the polysilicon layer, and a thickness of the second portion of the second dielectric layer is less than a thickness of the first portion of the second dielectric layer.
    Type: Grant
    Filed: September 5, 2019
    Date of Patent: September 28, 2021
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Lu-Wei Chung
  • Patent number: 11056319
    Abstract: An ion beam processing apparatus may include a plasma chamber, and a plasma plate, disposed alongside the plasma chamber, where the plasma plate defines a first extraction aperture. The apparatus may include a beam blocker, disposed within the plasma chamber and facing the extraction aperture. The apparatus may further include a non-planar electrode, disposed adjacent the beam blocker and outside of the plasma chamber; and an extraction plate, disposed outside the plasma plate, and defining a second extraction aperture, aligned with the first extraction aperture.
    Type: Grant
    Filed: July 29, 2019
    Date of Patent: July 6, 2021
    Assignee: APPLIED Materials, Inc.
    Inventors: Costel Biloiu, Appu Naveen Thomas, Tyler Rockwell, Frank Sinclair, Christopher Campbell
  • Patent number: 11049728
    Abstract: Described are boron-doped amorphous carbon hard masks, methods of preparing boron-doped amorphous carbon hard masks, methods of using the boron-doped amorphous carbon hard masks, and devices that include the boron-doped amorphous carbon hard masks.
    Type: Grant
    Filed: October 18, 2019
    Date of Patent: June 29, 2021
    Assignee: ENTEGRIS, INC.
    Inventors: Ajith Uvais, Steve E. Bishop
  • Patent number: 10991881
    Abstract: A method of controlling the forming voltage of a dielectric film in a resistive random access memory (ReRAM) device. The method includes depositing a dielectric film contains intrinsic defects on a substrate, forming a plasma-excited treatment gas containing H2 gas, and exposing the dielectric film to the plasma-excited treatment gas to create additional defects in the dielectric film without substantially changing a physical thickness of the dielectric film, where the additional defects lower the forming voltage needed for generating an electrically conducting filament across the dielectric film. The dielectric film can include a metal oxide film and the plasma-excited treatment gas may be formed using a microwave plasma source.
    Type: Grant
    Filed: May 31, 2019
    Date of Patent: April 27, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Steven Consiglio, Cory Wajda, Kandabara Tapily, Takaaki Tsunomura, Takashi Ando, Paul C. Jamison, Eduard A. Cartier, Vijay Narayanan, Marinus J. P. Hopstaken
  • Patent number: 10960378
    Abstract: A system is described that is capable of operating as an energy conversion system that functions as a fuel cell and generates electrical current from a fuel or fuels, or as a reactor for conversion of starter materials into more complex molecules through ion-ion and ion-molecules and which may preferably be adapted to operate as a gas to liquid (GTL) process. The system ionises at least one fuel or starter material and manipulates, selects and transports ions for reaction by means of suitable electrostatic or electrodynamic ion guides, filters or drift tubes. The system of the present application replaces the electrolyte, catalyst and/or membrane found in classic fuel cells or GTL processes with an electrostatic or electrodynamic ion manipulation region such as an ion guide, analyser, drift tube or filter.
    Type: Grant
    Filed: August 22, 2016
    Date of Patent: March 30, 2021
    Inventor: Alan Finlay
  • Patent number: 10923309
    Abstract: A method for improving the beam current for certain ion beams, and particularly germanium and argon, is disclosed. The use of argon as a second gas has been shown to improve the ionization of germane, allowing the formation of a germanium ion beam of sufficient beam current without the use of a halogen. Additionally, the use of germane as a second gas has been shown to improve the beam current of an argon ion beam.
    Type: Grant
    Filed: November 1, 2018
    Date of Patent: February 16, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Bon-Woong Koo, Ajdin Sarajlic, Ronald Johnson, Nunzio V. Carbone, Peter Ewing, Mervyn Deegan
  • Patent number: 10879038
    Abstract: Provided herein are approaches for reducing particles in an ion implanter. In some embodiments, an electrostatic filter of the ion implanter may include a housing and a plurality of conductive beam optics within the housing, the plurality of conductive beam optics arranged around an ion beam-line. At least one conductive beam optic of the plurality of conductive beam optics may include a conductive core element, a resistive material disposed around the conductive core, and a conductive layer disposed around the resistive material.
    Type: Grant
    Filed: July 2, 2019
    Date of Patent: December 29, 2020
    Assignee: Applied Materials, Inc.
    Inventor: Scott E. Peitzsch
  • Patent number: 10651296
    Abstract: Methods of fabricating FinFET devices are provided. The method includes forming a fin over a substrate. The method also includes implanting a first dopant on a top surface of the fin and implanting a second dopant on a sidewall surface of the fin. The first dopant is different from the second dopant. The method further includes forming an oxide layer on the top surface and the sidewall surface of the fin, and forming a gate electrode layer over the oxide layer.
    Type: Grant
    Filed: July 30, 2018
    Date of Patent: May 12, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tsung-Han Wu, Tong-Min Weng, Chun-Yi Huang, Po-Ching Lee, Chih-Hsuan Hsieh, Shu-Ching Tsai
  • Patent number: 10395937
    Abstract: A method of forming a semiconductor device is disclosed. The method includes providing a device having a substrate and a hard mask layer over the substrate; forming a mandrel over the hard mask layer; depositing a material layer on sidewalls of the mandrel; implanting a dopant into the material layer; performing an etching process on the hard mask layer using the mandrel and the material layer as an etching mask, thereby forming a patterned hard mask layer, wherein the etching process concurrently produces a dielectric layer deposited on sidewalls of the patterned hard mask layer, the dielectric layer containing the dopant; and forming a fin by etching the substrate using the patterned hard mask layer and the dielectric layer collectively as an etching mask.
    Type: Grant
    Filed: August 29, 2017
    Date of Patent: August 27, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD
    Inventors: Tzung-Yi Tsai, Yen-Ming Chen, Dian-Hau Chen, Han-Ting Tsai, Tsung-Lin Lee, Chia-Cheng Ho, Ming-Shiang Lin
  • Patent number: 10325818
    Abstract: The present disclosure provides a method for fabricating a fin field-effect transistor (fin-FET), including: providing a substrate having a plurality of discrete fin structures thereon; forming a chemical oxide layer on at least a sidewall of a fin structure; forming a doped layer containing doping ions on the chemical oxide layer; and annealing the doped layer such that the doping ions diffuse into the fin structure to form a doped region.
    Type: Grant
    Filed: December 12, 2016
    Date of Patent: June 18, 2019
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventor: Yong Li
  • Patent number: 10276384
    Abstract: A gas is ionized into a plasma. A compound of a dopant is mixed into the plasma, forming a mixed plasma. Using a semiconductor device fabrication system, a layer of III-V material is exposed to the mixed plasma to dope the layer with the dopant up to a depth in the layer, forming a shallow doped portion of the layer. The depth of the dopant is controlled by a second layer of the dopant formed at the shallow doped portion of the layer. The second layer is exposed to a solution, where the solution is prepared to erode the dopant in the second layer at a first rate. After an elapsed period, the solution is removed from the second layer, wherein the elapsed period is insufficient to erode a total depth of the layer and the shallow doped portion by more than a tolerance erosion amount.
    Type: Grant
    Filed: January 30, 2017
    Date of Patent: April 30, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Robert L. Bruce, Kevin K. Chan, Sebastian U. Engelmann, Dario L. Goldfarb, Marinus Hopstaken, Mahmoud Khojasteh, George G. Totir, Hongwen Yan, Masahiro Yamazaki
  • Patent number: 9685304
    Abstract: An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of B2F4. Synthesis methods for such compounds, and ion implantation methods using such compounds, are described, as well as storage and dispensing vessels in which the isotopically-enriched, boron-containing compound is advantageously contained for subsequent dispensing use.
    Type: Grant
    Filed: September 22, 2015
    Date of Patent: June 20, 2017
    Assignee: Entegris, Inc.
    Inventors: Robert Kaim, Joseph D. Sweeney, Oleg Byl, Sharad N. Yedave, Edward E. Jones, Peng Zou, Ying Tang, Barry Lewis Chambers, Richard S. Ray
  • Patent number: 9385219
    Abstract: Methods for forming fin structures with desired materials formed on different locations of the fin structure using a selective deposition process for fin field effect transistors (FinFETs) are provided. In one embodiment, a method of forming a structure with desired materials on a substrate includes depositing a first material on a substrate having a three-dimensional (3D) structure formed thereon while performing an implantation process to dope a first region of the 3D structure. The first material may be removed and a second material may be deposited on the 3D structure. The second material may selectively grow on a second region of the 3D structure.
    Type: Grant
    Filed: June 29, 2015
    Date of Patent: July 5, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ellie Y. Yieh, Srinivas D. Nemani, Ludovic Godet, Yin Fan, Tristan Ma
  • Patent number: 9373509
    Abstract: A method to implant dopants onto fin-type field-effect-transistor (FINFET) fin surfaces with uniform concentration and depth levels of the dopants and the resulting device are disclosed. Embodiments include a method for pulsing a dopant perpendicular to an upper surface of a substrate, forming an implantation beam pulse; applying an electric or a magnetic field to the implantation beam pulse to effectuate a curvilinear trajectory path of the implantation beam pulse; and implanting the dopant onto a sidewall surface of a target FINFET fin on the upper surface of the substrate via the curvilinear trajectory path of the implantation beam pulse.
    Type: Grant
    Filed: September 4, 2014
    Date of Patent: June 21, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ralf Richter, Stefan Flachowsky, Peter Javorka, Jan Hoentschel
  • Patent number: 9343291
    Abstract: Techniques include a method of forming an interfacial passivation layer between a first semiconductor material (such as germanium) and a high-k gate dielectric. Such techniques include using a hydrogen-based plasma formed using a slotted-plane antenna plasma processing system. Such a plasma treatment can be executed with substrate temperatures less than 380 degrees Celsius, and even down to about 200 degrees Celsius or below.
    Type: Grant
    Filed: May 12, 2014
    Date of Patent: May 17, 2016
    Assignees: Tokyo Electron Limited, The Board of Trustees of the Leland Stanford Junior University
    Inventors: Toru Ito, Paul C. McIntyre
  • Patent number: 9153441
    Abstract: The present disclosure relates to the deposition of dopant films, such as doped silicon oxide films, by atomic layer deposition processes. In some embodiments, a substrate in a reaction space is contacted with pulses of a silicon precursor and a dopant precursor, such that the silicon precursor and dopant precursor adsorb on the substrate surface. Oxygen plasma is used to convert the adsorbed silicon precursor and dopant precursor to doped silicon oxide.
    Type: Grant
    Filed: February 19, 2014
    Date of Patent: October 6, 2015
    Assignee: ASM International, N.V.
    Inventors: Noboru Takamure, Atsuki Fukazawa, Hideaki Fukuda, Antti Niskanen, Suvi Haukka, Ryu Nakano, Kunitoshi Namba
  • Patent number: 9099495
    Abstract: The present disclosure discloses a method of fabricating a semiconductor device. A fin structure is formed over a substrate. The fin structure contains a semiconductor material. A first implantation process is performed to a region of the fin structure to form a fin seed within the region of the fin structure. The fin seed has a crystal structure. The first implantation process is performed at a process temperature above about 100 degrees Celsius. A second implantation process is performed to the region of the fin structure to cause the region of the fin structure outside the fin seed to become amorphous. The second implantation process is performed at a process temperature below about 0 degrees Celsius. Thereafter, an annealing process is performed to recrystallize the region of the fin structure via the fin seed.
    Type: Grant
    Filed: February 11, 2014
    Date of Patent: August 4, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Feng Nieh, Hsin-Wei Wu, Tsun-Jen Chan, Yu-Chang Lin
  • Patent number: 9054128
    Abstract: A doping method that forms a doped region at a desired location of a three-dimensional (3D) conductive structure, controls the doping depth and doping dose of the doped region relatively easily, has a shallow doping depth, and prevents a floating body effect. A semiconductor device is fabricated using the same doping method. The method includes, forming a conductive structure having a sidewall, exposing a portion of the sidewall of the conductive structure, and forming a doped region in the exposed portion of the sidewall by performing a plasma doping process.
    Type: Grant
    Filed: February 20, 2014
    Date of Patent: June 9, 2015
    Assignee: SK Hynix Inc.
    Inventors: Jin-Ku Lee, Jae-Geun Oh, Young-Ho Lee, Mi-Ri Lee, Seung-Beom Baek
  • Patent number: 9048181
    Abstract: A method of making a semiconductor device includes forming a fin structure over a substrate. The method further includes performing a plasma doping process on the fin structure. Performing the plasma doping process includes implanting plasma ions into the fin structures at a plurality of implant angles, and the plurality of implant angles has an angular distribution and at least one highest angle frequency value.
    Type: Grant
    Filed: May 27, 2014
    Date of Patent: June 2, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chii-Ming Wu, Yu Lien Huang, Chun Hsiung Tsai
  • Publication number: 20150132929
    Abstract: Provided is a method for injecting a dopant into a substrate to be processed. A method in one embodiment of the present invention includes: (a) a step for preparing, in a processing container, a substrate to be processed; and (b) a step for injecting a dopant into the substrate by supplying a doping gas containing AsH3, an inert gas, and H2 gas to the inside of the processing container, and applying plasma excitation energy to the inside of the processing container. In the step of injecting the dopant, the ratio of hydrogen partial pressure to the gas total pressure in the processing container is set within the range of 0.0015-0.003.
    Type: Application
    Filed: April 5, 2013
    Publication date: May 14, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Horigome, Hirokazu Ueda, Masahiro Oka, Masahiro Yamazaki, Takenao Nemoto
  • Patent number: 9029249
    Abstract: Disclosed is a plasma doping apparatus provided with a plasma generating mechanism. The plasma generating mechanism includes a microwave generator that generates microwave for plasma excitation, a dielectric window that transmits the microwave generated by the microwave generator into a processing container, and a radial line slot antenna formed with a plurality of slots. The radial line slot antenna radiates the microwave to the dielectric window. A control unit controls the plasma doping apparatus such that a doping gas and a gas for plasma excitation are supplied into the processing container by a gas supply unit in a state where the substrate is placed on a holding unit, and then plasma is generated by the plasma generating mechanism to perform doping on the substrate such that the concentration of the dopant implanted into the substrate is less than 1×1013 atoms/cm2.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: May 12, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hirokazu Ueda, Masahiro Oka, Masahiro Horigome, Yuuki Kobayashi
  • Publication number: 20150126022
    Abstract: According to an example embodiment, a method includes forming a nitrogen vacancy surface layer by treating a surface of an n-type nitride semiconductor with inert gas plasma, and forming an oxygen-added nitride film by treating a surface of the nitrogen vacancy surface layer with oxygen-containing gas plasma, and forming an electrode on the oxygen-added nitride film. The nitrogen vacancy surface layer lacks a nitrogen element.
    Type: Application
    Filed: July 21, 2014
    Publication date: May 7, 2015
    Inventors: Tae Hun KIM, Sung Joon KIM, Young Kyu SUNG, Wan Ho LEE, Tae Sung JANG, Tae Young PARK, Wan Tae LIM
  • Patent number: 9018050
    Abstract: A rolled-up transmission line structure for a radiofrequency integrated circuit (RFIC) comprises a multilayer sheet in a rolled configuration comprising multiple turns about a longitudinal axis, where the multilayer sheet comprises a conductive pattern layer on a strain-relieved layer. The conductive pattern layer comprises a first conductive film and a second conductive film separated from the first conductive film in a rolling direction. In the rolled configuration, the first conductive film surrounds the longitudinal axis, and the second conductive film surrounds the first conductive film. The first conductive film serves as a signal line and the second conductive film serves as a conductive shield for the rolled-up transmission line structure.
    Type: Grant
    Filed: October 10, 2013
    Date of Patent: April 28, 2015
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Xiuling Li, Wen Huang
  • Patent number: 9006802
    Abstract: Semiconductor device manufacturing methods and methods of forming insulating material layers are disclosed. In one embodiment, a method of forming a composite insulating material layer of a semiconductor device includes providing a workpiece and forming a first sub-layer of the insulating material layer over the workpiece using a first plasma power level. A second sub-layer of the insulating material layer is formed over the first sub-layer of the insulating material layer using a second plasma power level, and the workpiece is annealed.
    Type: Grant
    Filed: August 18, 2011
    Date of Patent: April 14, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Gin-Chen Huang, Tsai-Fu Hsiao, Ching-Hong Jiang, Neng-Kuo Chen, Hongfa Luan, Sey-Ping Sun, Clement Hsingjen Wann
  • Patent number: 8993422
    Abstract: In accordance with an embodiment of the present invention, a process tool includes a chuck configured to hold a substrate. The chuck is disposed in a chamber. The process tool further includes a shielding unit with a central opening. The shielding unit is disposed in the chamber over the chuck.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: March 31, 2015
    Assignee: Infineon Technologies AG
    Inventor: Manfred Engelhardt
  • Patent number: 8989888
    Abstract: A method for automatically detecting fault conditions and classifying the fault conditions during substrate processing is provided. The method includes collecting processing data by a set of sensors during the substrate processing. The method also includes sending the processing data to a fault detection/classification component. The method further includes performing data manipulation of the processing data by the fault detection/classification component. The method yet also includes executing a comparison between the processing data and a plurality of fault models stored within a fault library. Each fault model of the plurality of fault models represents a set of data characterizing a specific fault condition. Each fault model includes at least a fault signature, a fault boundary, and a set of principal component analysis (PCA) parameters.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: March 24, 2015
    Assignee: Lam Research Corporation
    Inventors: Gunsu Yun, Vijayakumar C. Venugopal
  • Patent number: 8975603
    Abstract: Systems and methods for plasma doping microfeature workpieces are disclosed herein. In one embodiment, a method of implanting boron ions into a region of a workpiece includes generating a plasma in a chamber, selectively applying a pulsed electrical potential to the workpiece with a duty cycle of between approximately 20 percent and approximately 50 percent, and implanting an ion specie into the region of the workpiece.
    Type: Grant
    Filed: February 3, 2014
    Date of Patent: March 10, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Shu Qin, Allen McTeer
  • Publication number: 20150053983
    Abstract: Systems and methods are provided for dopant activation in a semiconductor structure for fabricating semiconductor devices. For example, a substrate is provided. A semiconductor structure is formed on the substrate. Pre-amorphization implantation is performed on the semiconductor structure. Microwave radiation is applied to the semiconductor structure to activate dopants in the semiconductor structure for fabricating semiconductor devices. Microwave-radiation absorption of the semiconductor structure is increased after the pre-amorphization implantation.
    Type: Application
    Filed: August 22, 2013
    Publication date: February 26, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: CHUN-HSIUNG TSAI, CHEN-FENG HSU, YI-TANG LIN, Clement HSINGJEN WANN
  • Publication number: 20150041962
    Abstract: First and second cell trench structures extend from a first surface into a semiconductor substrate. The first cell trench structure includes a first buried electrode and a first insulator layer between the first buried electrode and a semiconductor mesa separating the first and second cell trench structures. A capping layer covers the first surface. The capping layer is patterned to form an opening having a minimum width larger than a thickness of the first insulator layer. The opening exposes a first vertical section of the first insulator layer at the first surface. An exposed portion of the first insulator layer is removed to form a recess between the semiconductor mesa and the first buried electrode. A contact structure is in the opening and the recess. The contact structure electrically connects both a buried zone in the semiconductor mesa and the first buried electrode and allows for narrower semiconductor mesa width.
    Type: Application
    Filed: August 9, 2013
    Publication date: February 12, 2015
    Inventors: Johannes Georg Laven, Maria Cotorogea, Hans-Joachim Schulze, Haybat Itani, Erich Griebl, Andreas Haghofer
  • Patent number: 8946035
    Abstract: A replacement channel and a method for forming the same in a semiconductor device are provided. A channel area is defined in a substrate which is a surface of a semiconductor wafer or a structure such as a fin formed over the wafer. Portions of the channel region are removed and are replaced with a replacement channel material formed by an epitaxial growth/deposition process to include a first dopant concentration level less than a first dopant concentration level. A subsequent doping operation or operations is then used to boost the average dopant concentration to a level greater than the first dopant concentration level. The replacement channel material is formed to include a gradient in which the upper portion of the replacement channel material has a greater dopant concentration than the lower portion of replacement channel material.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Lien Huang, Ming-Huan Tsai, Clement Hsingjen Wann
  • Patent number: 8940639
    Abstract: A MEMS device with movable MEMS structure and electrodes is produced by fabricating electrodes and shielding the electrodes with diamond buttons during subsequent fabrication steps, such as the etching of sacrificial oxide using vapor HF. In some embodiments, the diamond buttons are removed after the movable MEMS structure is released.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: January 27, 2015
    Assignee: Analog Devices, Inc.
    Inventors: Fang Liu, Kuang L. Yang
  • Patent number: 8937004
    Abstract: A plasma processing apparatus comprises a plasma source configured to produce a plasma in a plasma chamber, such that the plasma contains ions for implantation into a workpiece. The apparatus also includes a focusing plate arrangement having an aperture arrangement configured to modify a shape of a plasma sheath of the plasma proximate the focusing plate such that ions exiting an aperture of the aperture arrangement define focused ions. The apparatus further includes a processing chamber containing a workpiece spaced from the focusing plate such that a stationary implant region of the focused ions at the workpiece is substantially narrower that the aperture. The apparatus is configured to create a plurality of patterned areas in the workpiece by scanning the workpiece during ion implantation.
    Type: Grant
    Filed: April 19, 2013
    Date of Patent: January 20, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Anthony Renau, Ludovic Godet, Timothy J. Miller, Joseph C. Olson, Vikram Singh, James Buonodono, Deepak A. Ramappa, Russell J. Low, Atul Gupta, Kevin M. Daniels
  • Patent number: 8937003
    Abstract: A technique for ion implanting a target is disclosed. In accordance with one exemplary embodiment, the technique may be realized as a method for ion implanting a target, the method comprising: providing a predetermined amount of processing gas in an arc chamber of an ion source, the processing gas containing implant species and implant species carrier, where the implant species carrier may be one of O and H; providing a predetermined amount of dilutant into the arc chamber, wherein the dilutant may comprise a noble species containing material; and ionizing the processing gas and the dilutant.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: January 20, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Alexander S. Perel, Craig R. Chaney, Wayne D. LeBlanc, Robert Lindberg, Antonella Cucchetti, Neil J. Bassom, David Sporleder, James Young
  • Patent number: 8937000
    Abstract: A chemical vapor deposition reactor and method. Reactive gases, such as gases including a Group III metal source and a Group V metal source, are introduced into the chamber (10) of a rotating-disc reactor and directed downwardly onto a wafer carrier (32) and substrates (40) which are maintained at an elevated substrate temperature, typically above about 400° C. and normally about 700-1100° C. to deposit a compound such as a III-V semiconductor. The gases are introduced into the reactor at an inlet temperature desirably above about 75° C. and most preferably about 100°-350° C. The walls of the reactor may be at a temperature close to the inlet temperature. Use of an elevated inlet temperature allows the use of a lower rate of rotation of the wafer carrier, a higher operating pressure, lower flow rate, or some combination of these.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: January 20, 2015
    Assignee: Veeco Instruments Inc.
    Inventors: Alex Gurary, Mikhail Belousov, Bojan Mitrovic
  • Patent number: 8921214
    Abstract: A method for fabricating a variable resistance memory device includes forming an oxygen-deficient first metal oxide layer over a first electrode, forming an oxygen-rich second metal oxide layer over the first metal oxide layer, treating the first and second metal oxide layers with hydrogen-containing plasma, forming an oxygen-rich third metal oxide layer, and forming a second electrode over the third metal oxide layer.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: December 30, 2014
    Assignee: SK Hynix Inc.
    Inventors: Kee-Jeung Lee, Beom-Yong Kim, Wan-Gee Kim, Woo-Young Park
  • Publication number: 20140356984
    Abstract: A method of doping a non-planar surface or a surface of a substrate subject to poor view factors is provided. The processing chamber comprises a window, walls, and a bottom of the processing chamber with oxygen-containing material, the processing chamber configured to supply oxygen radicals as an additive to doping materials. One or more quartz pieces are placed inside the processing chamber, where a magnet proximate to the processing chamber is configured to create a local magnetron plasma inside the processing chamber. Process gas containing an inert gas, sublimated doping materials, and optionally oxygen gas is flowed into the processing chamber; energy is applied to the process gas, generating a doping plasma used to expose a portion of the substrate surface while controlling operating variables to achieve target uniformity of dopant concentration, sheet resistance, degree of dopant clustering, and erosion of features on the substrate.
    Type: Application
    Filed: November 8, 2013
    Publication date: December 4, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Peter L. G. Ventzek, Yuuki Kobayashi
  • Publication number: 20140357068
    Abstract: A plasma doping apparatus which performs doping by injecting dopants into a substrate to be processed. The apparatus includes a processing container, a gas supplying unit configured to supply a doping gas and an inert gas for plasma excitation into the processing container, a holding table configured to hold the substrate to be processed, a plasma generating mechanism configured to generate plasma in the processing container using a microwave, a pressure adjusting mechanism configured to adjust a pressure in the processing container, and a control unit configured to control the plasma doping apparatus. The control unit controls the pressure adjusting mechanism to set the pressure in the processing container to be equal to or more than 100 mTorr and less than 500 mTorr such that a plasma processing is performed on the substrate to be processed using the plasma generated by the plasma generating mechanism.
    Type: Application
    Filed: October 19, 2012
    Publication date: December 4, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Horigome, Hirokazu Ueda, Masahiro Oka, Yuuki Kobayashi, Takayuki Karakawa
  • Patent number: 8900899
    Abstract: Novel processing methods for production of high-refractive index contrast and low loss optical waveguides are disclosed. In one embodiment, a “channel” waveguide is produced by first depositing a lower cladding material layer with a low refractive index on a base substrate, a refractory metal layer, and a top diffusion barrier layer. Then, a trench is formed with an open surface to the refractory metal layer. The open surface is subsequently oxidized to form an oxidized refractory metal region, and the top diffusion barrier layer and the non-oxidized refractory metal region are removed. Then, a low-refractive-index top cladding layer is deposited on this waveguide structure to encapsulate the oxidized refractory metal region. In another embodiment, a “ridge” waveguide is produced by using similar process steps with an added step of depositing a high-refractive-index material layer and an optional optically-transparent layer.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: December 2, 2014
    Inventor: Payam Rabiei
  • Publication number: 20140342537
    Abstract: A method of making a semiconductor device includes forming a fin structure over a substrate. The method further includes performing a plasma doping process on the fin structure. Performing the plasma doping process includes implanting plasma ions into the fin structures at a plurality of implant angles, and the plurality of implant angles has an angular distribution and at least one highest angle frequency value.
    Type: Application
    Filed: May 27, 2014
    Publication date: November 20, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chii-Ming WU, Yu Lien HUANG, Chun Hsiung TSAI
  • Patent number: 8889534
    Abstract: A method of doping a non-planar surface or a surface of a substrate subject to poor view factors is provided. The processing chamber comprises a window, walls, and a bottom of the processing chamber with oxygen-containing material, the processing chamber configured to supply oxygen radicals as an additive to doping materials. One or more quartz pieces are placed inside the processing chamber, where a magnet proximate to the processing chamber is configured to create a local magnetron plasma inside the processing chamber. Process gas containing an inert gas, sublimated doping materials, and optionally oxygen gas is flowed into the processing chamber; energy is applied to the process gas, generating a doping plasma used to expose a portion of the substrate surface while controlling operating variables to achieve target uniformity of dopant concentration, sheet resistance, degree of dopant clustering, and erosion of features on the substrate.
    Type: Grant
    Filed: November 8, 2013
    Date of Patent: November 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Peter L. G. Ventzek, Yuuki Kobayashi