Specified Etch Stop Material Patents (Class 438/970)
  • Patent number: 11670542
    Abstract: Embodiments of the present invention are directed to fabrication methods and resulting interconnect structures having stepped top vias that reduce via resistance. In a non-limiting embodiment of the invention, a surface of a conductive line is recessed below a first dielectric layer. A second dielectric layer is formed on the recessed surface and an etch stop layer is formed over the structure. A first cavity is formed that exposes the recessed surface of the conductive line and sidewalls of the second dielectric layer. The first cavity includes a first width between sidewalls of the etch stop layer. The second dielectric layer is removed to define a second cavity having a second width greater than the first width. A stepped top via is formed on the recessed surface of the conductive line. The top via includes a top portion in the first cavity and a bottom portion in the second cavity.
    Type: Grant
    Filed: January 7, 2022
    Date of Patent: June 6, 2023
    Assignee: International Business Machines Corporation
    Inventors: Brent Alan Anderson, Lawrence A. Clevenger, Christopher J. Penny, Kisik Choi, Nicholas Anthony Lanzillo, Robert Robison
  • Patent number: 11527537
    Abstract: A memory structure including a substrate, a bit line structure, a contact structure, a stop layer, and a capacitor structure is provided. The substrate includes a memory array region. The bit line structure is located in the memory array region and located on the substrate. The contact structure is located in the memory array region and located on the substrate on one side of the bit line structure. The stop layer is located in the memory array region and located above the bit line structure. The capacitor structure is located in the memory array region. The capacitor structure passes through the stop layer and is electrically connected to the contact structure. A bottom surface of the capacitor structure is lower than a bottom surface of the stop layer.
    Type: Grant
    Filed: May 3, 2021
    Date of Patent: December 13, 2022
    Assignee: Winbond Electronics Corp.
    Inventors: Keng-Ping Lin, Shu-Ming Li, Tzu-Ming Ou Yang
  • Patent number: 8999834
    Abstract: An integrated circuit structure includes a first gate strip; a gate spacer on a sidewall of the first gate strip; and a contact etch stop layer (CESL) having a bottom portion lower than a top surface of the gate spacer, wherein a portion of a sidewall of the gate spacer has no CESL formed thereon.
    Type: Grant
    Filed: February 20, 2014
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Han-Pin Chung, Bor Chiuan Hsieh, Shiang-Bau Wang, Hun-Jan Tao
  • Patent number: 8557682
    Abstract: Methods of dicing substrates having a plurality of ICs. A method includes forming a multi-layered mask comprising a first mask material layer soluble in a solvent over the semiconductor substrate and a second mask material layer, insoluble in the solvent, over the first mask material layer. The multi-layered mask is patterned with a laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the substrate between the ICs. The substrate is then plasma etched through the gaps in the patterned mask to singulate the IC with the second mask material layer protecting the first mask material layer for at least a portion of the plasma etch. The soluble material layer is dissolved subsequent to singulation to remove the multi-layered mask.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: October 15, 2013
    Assignee: Applied Materials, Inc.
    Inventors: James M. Holden, Wei-Sheng Lei, Brad Eaton, Todd Egan, Saravjeet Singh
  • Patent number: 8507363
    Abstract: Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer. The semiconductor wafer is disposed on a water-soluble die attach film. The mask covers and protects the integrated circuits. The mask is patterned with a laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the semiconductor wafer between the integrated circuits. The semiconductor wafer is then etched through the gaps in the patterned mask to form singulated integrated circuits. The water-soluble die attach film is then patterned with an aqueous solution.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: August 13, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Wei-Sheng Lei, Madhava Rao Yalamanchili, Brad Eaton, Saravjeet Singh, Ajay Kumar
  • Patent number: 8383510
    Abstract: Upon forming a complex metallization system, the parasitic capacitance between metal lines of adjacent metallization layers may be reduced by providing a patterned etch stop material. In this manner, the patterning process for forming the via openings may be controlled in a highly reliable manner, while, on the other hand, the resulting overall dielectric constant of the metallization system may be reduced, thereby also significantly reducing the parasitic capacitance between stacked metal lines.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: February 26, 2013
    Assignee: Globalfoundries Inc.
    Inventors: Jens Heinrich, Torsten Huisinga, Ralf Richter, Ronny Pfuetzner
  • Patent number: 8378489
    Abstract: A semiconductor device of this invention has a copper wiring layer, of which a layer, to which a composition including at least one substance selected from the group consisting of ammonia and organic bases is applied, and a silicon-containing insulating film are sequentially superimposed on the copper wiring layer. Accordingly, semiconductor devices having insulating layers which adheres well to the copper serving as the wiring material can be obtained.
    Type: Grant
    Filed: August 20, 2009
    Date of Patent: February 19, 2013
    Assignee: Fujitsu Limited
    Inventors: Shiro Ozaki, Yoshihiro Nakata, Yasushi Kobayashi, Ei Yano
  • Patent number: 8330257
    Abstract: In a method of manufacturing a thin film transistor substrate, a semiconductor pattern is formed on a substrate, a first etch stop layer and a second etch stop layer are sequentially formed on the semiconductor pattern, and the second etch stop layer and the first etch stop layer are sequentially patterned to form a second etch stop pattern and a first etch stop pattern. Thus, when the second etch stop layer is patterned using an etchant, the first etch stop layer covers the semiconductor pattern, thereby preventing the semiconductor pattern from being etched by the etchant.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: December 11, 2012
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Ho Moon, Joon-Hoo Choi, Kyu-Sik Cho, Byoung-Seong Jeong, Yong-Hwan Park
  • Patent number: 8242028
    Abstract: A method for the ultraviolet (UV) treatment of etch stop and hard mask film increases etch selectivity and hermeticity by removing hydrogen, cross-linking, and increasing density. The method is particularly applicable in the context of damascene processing. A method provides for forming a semiconductor device by depositing an etch stop film or a hard mask film on a substrate and exposing the film to UV radiation and optionally thermal energy. The UV exposure may be direct or through another dielectric layer.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: August 14, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Bart van Schravendijk, Christian Denisse
  • Patent number: 8125036
    Abstract: The Examiner objected to the abstract of the disclosure because it contains the phrase “comprising.” The Abstract does not include the phrase “comprising,” however, please amend the abstract as follows: An integrated circuit having a semiconductor component arrangement and production method is disclosed. The integrated circuit as described includes an oxide layer region is provided as a protection against oxidation in the edge region on the surface region of an underlying semiconductor material region.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: February 28, 2012
    Assignee: Infineon Technologies Austria AG
    Inventor: Gerhard Schmidt
  • Patent number: 7972892
    Abstract: A composite growth-assisting substrate 10 is formed by epitaxially growing a separation-assisting compound semiconductor layer 10k composed of a non-GaAs III-V compound semiconductor single crystal, and then a sub-substrate 10e composed of a GaAs single crystal in this order, on a first main surface of a substrate bulk 10m composed of a GaAs single crystal. The sub-substrate portion 10e is then separated from the composite growth-assisting substrate 10, so as to be left as a residual substrate portion 1 on a second main surface of the main compound semiconductor layer 40, and a portion of the residual substrate portion 1 is cut off to thereby form a cut-off portion 1j having a bottom surface used as a light extraction surface. By this configuration, the light emitting device is provided as allowing effective use of the GaAs substrate, and increasing the light extraction efficiency.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: July 5, 2011
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Masato Yamada, Masanobu Takahashi
  • Patent number: 7879717
    Abstract: Interconnect structures having buried etch stop layers with low dielectric constants and methods relating to the generation of such buried etch stop layers are described herein. The inventive interconnect structure comprises a buried etch stop layer comprised of a polymeric material having a composition SivNwCxOyHz, where 0.05?v?0.8, 0?w?0.9, 0.05?x?0.8, 0?y?0.3, 0.05?z?0.8 for v+w+x+y+z=1; a via level interlayer dielectric that is directly below said buried etch stop layer; a line level interlayer dielectric that is directly above said buried etch stop layer; and conducting metal features that traverse through said via level dielectric, said line level dielectric, and said buried etch stop layer.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: February 1, 2011
    Assignee: International Business Machines Corporation
    Inventors: Elbert E. Huang, Kaushik A. Kumar, Kelly Malone, Dirk Pfeiffer, Muthumanickam Sankarapandian, Christy S. Tyberg
  • Patent number: 7838354
    Abstract: By performing a planarization process, for instance based on a planarization layer, prior to forming a resist mask for selectively removing a portion of a stressed contact etch stop layer, the strain-inducing mechanism of a subsequently deposited further contact etch stop layer may be significantly improved.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: November 23, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Sven Mueller, Christoph Schwan
  • Patent number: 7608501
    Abstract: By partially removing an etch stop layer prior to the formation of a first contact etch stop layer, a superior stress transfer mechanism may be provided in an integration scheme for generating strain by means of contact etch stop layers. Thus, a semiconductor device having different types of transistors may be provided, in which a high degree of metal silicide integrity as well as a highly efficient stress transfer mechanism is achieved.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: October 27, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Carsten Peters, Matthias Schaller, Heike Salz
  • Patent number: 7576011
    Abstract: A method of forming a contact plug in a semiconductor device includes the steps of forming a plurality of select lines and a plurality of word lines on a semiconductor substrate; forming a first etching stop layer on the select lines and the word lines; forming a second etching stop layer on the first etching stop layer; forming an insulating layer on the second etching stop layer; removing the insulating layer placed between the select lines, the second etching stop layer and the first etching stop layer to form a contact hole through which a portion of the semiconductor substrate is exposed; and filling the contact hole with conductive material to form a contact plug, and so the nitride layer is thinly formed and the high dielectric layer is then formed to form the etching stop layer. Due to the above, a layer stress caused by the nitride layer can be minimized, and it is possible to resolve a problem of exposing the semiconductor substrate caused by a damage of the etching stop layer.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: August 18, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Sun Hyun
  • Patent number: 7361587
    Abstract: The present invention is a semiconductor contact formation system and methods that form contact insulation regions comprising multiple etch stop sublayers that facilitate formation of contacts. This contract formation process provides relatively small substrate connections while addressing critical lithographic printing limitation concerns in forming contact holes with small dimensions. In one embodiment, a multiple etch stop contact formation process in which a multiple etch stop insulation layer comprising multiple etch stop layers is deposited. A contact region is formed in the multiple etch stop insulation layer by selectively removing (e.g., etching) some of the multiple etch stop insulation layer. In one embodiment a larger portion of the multiple etch stop insulation layer is removed close to the metal layer and a smaller portion is removed closer to the substrate.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: April 22, 2008
    Assignee: Spansion, LLC
    Inventors: Wenmei Li, Angela T. Hui, Dawn Hopper, Kouros Ghandehari
  • Publication number: 20080073724
    Abstract: A semiconductor device and a method for forming the same provides a double layer contact etch stop layer selectively formed over PMOS transistors with only a single silicon nitride contact etch stop layer formed over NMOS transistors on the same chip. The composite contact etch stop layer structure formed over the PMOS transistor avoids data retention and plasma induced damage issue associated with the PMOS transistor and a single silicon nitride contact etch stop layer formed over NMOS transistors avoids device shifting issues.
    Type: Application
    Filed: September 22, 2006
    Publication date: March 27, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Sheng-Hui Liang, Chia-Lin Chen, Chin-Yuan Ko
  • Patent number: 7195966
    Abstract: Methods of fabricating semiconductor devices are provided. Transistors are provided on a semiconductor substrate. A first interlayer insulating layer is provided on the transistors. A second interlayer insulating layer is provided on the first interlayer insulating layer. The second interlayer insulating layer defines a trench such that at least a portion of an upper surface of the first interlayer insulating layer is exposed. A resistor pattern is provided in the trench such that the at least a portion of the resistor pattern contacts the exposed portion of the first interlayer insulating layer. Related methods are also provided.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: March 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Taek Park, Jung-Dal Choi, Jung-Young Lee, Hyun-Suk Kim
  • Patent number: 7187081
    Abstract: Interconnect structures having buried etch stop layers with low dielectric constants and methods relating to the generation of such buried etch stop layers are described herein. The inventive interconnect structure comprises a buried etch stop layer comprised of a polymeric material having a composition SivNwCxOyHz, where 0.05?v?0.8, 0?w?0.9, 0.05?x?0.8, 0?y?0.3, 0.05?z?0.8 for v+w+x+y+z=1; a via level interlayer dielectric that is directly below said buried etch stop layer; a line level interlayer dielectric that is directly above said buried etch stop layer; and conducting metal features that traverse through said via level dielectric, said line level dielectric, and said buried etch stop layer.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: March 6, 2007
    Assignee: International Business Machines Corporation
    Inventors: Elbert E. Huang, Kaushik A. Kumar, Kelly Malone, Dirk Pfeiffer, Muthumanickam Sankarapandian, Christy S. Tyberg
  • Patent number: 7122467
    Abstract: Disclosed is a method for fabricating a semiconductor device with an improved process margin obtained by preventing damage to an inter-layer insulation layer during a wet cleaning process. Particularly, the method includes the steps of: forming a plurality of a first conductive pattern having a stack pattern of a first conductive and a first hard mask; forming a first inter-layer insulation layer of a good gap-fill property with a height between the first conductive material and the first hard mask on the first conductive layer; forming a second inter-layer insulation layer; forming a second conductive layer contacted the first conductive layer between the plurality of the first conductive patterns as passing through the first and the second inter-layer insulation layers; forming a third inter-layer insulation layer; forming a plurality of second conductive patterns; forming a fourth inter-layer insulation layer; and forming a third conductive layer contacted to the second conductive layer.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: October 17, 2006
    Assignee: Hynix / Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Tae-Woo Jung
  • Patent number: 7022246
    Abstract: A method is disclosed of fabricating a MIMCAP (a capacitor (CAP) formed by successive layers of metal, insulator, metal (MIM)) and a thin film resistor at the same level. A method is also disclosed of fabricating a MIMCAP and a thin film resistor at the same level, and a novel integration scheme for BEOL (back-end-of-line processing) thin film resistors which positions them closer to FEOL (front-end-of-line processing) devices.
    Type: Grant
    Filed: January 6, 2003
    Date of Patent: April 4, 2006
    Assignee: International Business Machines Corporation
    Inventors: Anil K. Chinthakindi, Shwu-Jen Jeng, Michael F. Lofaro, Christopher M. Schnabel, Kenneth J. Stein
  • Patent number: 6875687
    Abstract: Specific embodiments of the invention provide a silicon-carbide-type or silicon oxycarbide (also often called carbon-doped-oxide [CDO] or organosilicate glass) capping material and method for depositing this capping material on ELK films which are used as a dielectric material in integrated circuits. The ELK film may include any ELK film including but not limited to inorganic, organic and hybrid dielectric materials and their respective porous versions. The silicon-carbide-type material may be an amorphous silicon carbide type material such as the commercially available BLOk™ material, or a carbon-doped oxide material such as the commercially available Black Diamond™ both of which are developed by Applied Materials of Santa Clara, Calif. The amorphous silicon carbide (a-SiC) material is deposited using a plasma process in a non-oxidizing environment and the CDO-type material is deposited using an oxygen-starved plasma process.
    Type: Grant
    Filed: October 18, 2000
    Date of Patent: April 5, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Timothy Weidman, Michael P Nault, Josephine J Chang
  • Patent number: 6815332
    Abstract: A method for forming integrated dielectric layers using plasma energy includes (i) depositing a first dielectric layer on a substrate using a first reaction gas comprised of a source gas at a first source gas flow rate and an inert gas at a first inert gas flow rate, wherein the first inert gas flow rate is no more than 40% of the first source gas flow rate, and (ii) continuously depositing a second dielectric layer on top of the first dielectric layer using a second reaction gas comprised of a source gas at a second source gas flow rate and an inert gas at a second inert gas flow rate, wherein the second inert gas flow rate is 40% or higher of the second source gas flow rate.
    Type: Grant
    Filed: October 30, 2002
    Date of Patent: November 9, 2004
    Assignee: ASM Japan K.K.
    Inventors: Nelson Loke Chou San, Kiyoshi Satoh
  • Patent number: 6724967
    Abstract: A method is disclosed for making a device having one or more deposited layers and subject to a post deposition high temperature anneal. Opposing films having similar mechanical properties are deposited on the front and back faces of a wafer, which is subsequently subjected a high temperature anneal. The opposing films tend to cancel out stress-induced warping of the wafer during the subsequent anneal.
    Type: Grant
    Filed: March 7, 2001
    Date of Patent: April 20, 2004
    Assignee: Dalsa Semiconductor Inc.
    Inventors: Luc Ouellet, Annie Dallaire
  • Patent number: 6716697
    Abstract: Provided is a semiconductor device manufacturing method in which the numbers of photolithography and anisotropic dry etching processes are reduced to simplify the manufacturing steps; and it is avoided that the presence of an etching stopper film complicates the manufacturing steps in a region where no capacitor is formed, and also causes malfunction in a contact plug. Specifically, an anisotropic dry etching using a resist mask (RM2) is performed to form an opening (OP3) extending through at least an interlayer insulating film (5). Even after an etching stopper film (4) is exposed to the bottom part of the opening (OP3), the anisotropic dry etching is continued, using the etching stopper film (4) as etching mask, in order to form a contact hole (CH1) extending through an interlayer insulating film (3) to source/drain regions (11, 13). Therefore, the opening (OP3) and contact hole (CH1) are obtainable at a time in the same etching step.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: April 6, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Yusuke Kawase
  • Publication number: 20040014260
    Abstract: A copper fuse structure and the method for fabricating the same is disclosed in this present invention. By employing an inner copper metal layer as a fuse, the copper fuse according to this invention can be easily zipped with a laser repair tool. Furthermore, the openings on a bonding pad and the fuse of the semiconductor structure can be identified with the method according to this invention. Moreover, in contrast of the fuse formed with an upper aluminum layer in the prior art, the cost of the fuse manufacturing is lower in the method according to this invention by fabricating the fuse with an inner copper layer.
    Type: Application
    Filed: May 1, 2003
    Publication date: January 22, 2004
    Applicant: United Microelectronics Corp.
    Inventors: Der-Yuan Wu, Chiu-Te Lee
  • Patent number: 6674111
    Abstract: An etch stopper member is formed under a cell plate electrode so as to surround an active region along a periphery of the cell plate electrode. The etch stopper member is formed from a material that is resistant to an etchant of a first interlayer insulating film. For example, a dummy gate line and a cylindrical wall formed thereon are provided as the etch stopper member. Either the dummy gate line or the cylindrical wall may be provided as the etch stopper member. The etch stopper member prevents the interlayer insulating film from being laterally etched at the boundary between a DRAM memory section and a logic section. This eliminates the need to provide an etching margin, allowing for reduction in the area of the DRAM memory section.
    Type: Grant
    Filed: August 17, 2001
    Date of Patent: January 6, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Takashi Nakabayashi
  • Patent number: 6617249
    Abstract: A method for fabricating a resonator, and in particular, a thin film bulk acoustic resonator (FBAR), and a resonator embodying the method are disclosed. An FBAR is fabricated on a substrate by introducing a mass loading top electrode layer. For a substrate having multiple resonators, the top mass loading electrode layer is introduced for only selected resonator to provide resonators having different resonance frequencies on the same substrate.
    Type: Grant
    Filed: March 5, 2001
    Date of Patent: September 9, 2003
    Assignee: Agilent Technologies, Inc.
    Inventors: Richard C. Ruby, John D. Larson, III, Paul D. Bradley
  • Patent number: 6589858
    Abstract: A metal gate structure and method of making the same provides a tracer layer over a first metal or metal compound layer. When etching a metal gate, formed of tungsten, for example, with a first etchant chemistry optimized for etching tungsten, detection of the tracer layer through optical emission spectroscopy, for example, indicates the imminent clearing of the tungsten. A second etchant chemistry is then employed that is selective to the first metal or metal compound layer, such as TiN, overlying the gate dielectric. This provides a controlled etching of the TiN and thereby prevents degradation of the underlying gate dielectric material.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: July 8, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Srikanteswara Dakshina-Murthy, Paul R. Besser
  • Publication number: 20030109129
    Abstract: A semiconductor device has first interlayer insulating film having a wiring trench; a wiring portion having a first barrier metal layer formed over side walls and bottom surface of the wiring trench, a first conductor layer formed over the first barrier metal layer to embed the wiring trench, and a capping barrier metal film formed over the first conductor layer; second interlayer insulating film formed over the first interlayer insulating film and having a connecting hole; and a connecting portion having a second barrier metal layer formed over side walls and bottom surface of the connecting hole, and a second conductor layer formed over the second barrier metal layer to embed the connecting hole; wherein, at a joint between the connecting portion and wiring portion, at least one of the second barrier metal layer and capping barrier metal film on the bottom surface of the connecting hole is removed.
    Type: Application
    Filed: December 27, 2002
    Publication date: June 12, 2003
    Inventors: Tatsuyuki Saito, Naofumi Ohashi, Toshinori Imai, Junji Noguchi, Tsuyoshi Tamaru
  • Patent number: 6555896
    Abstract: A etch stop layer for use in a silicon oxide dry fluorine etch process is made of silicon nitride with hydrogen incorporated in it either in the form of N—H bonds, Si—H bonds, or entrapped free hydrogen. The etch stop layer is made by either increasing the NH3 flow, decreasing the SiH4 flow, decreasing the nitrogen flow, or all three, in a standard PECVD silicon nitride fabrication process. The etch stop can alternatively be made by pulsing the RF field in either a PECVD process or an LPCVD process.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: April 29, 2003
    Assignee: Micron Technology, Inc.
    Inventors: David A. Cathey, J. Brett Rolfson, Valerie A. Ward, Karen M. Winchester
  • Patent number: 6548418
    Abstract: A method for reactive ion etching of SiO2 and an etch stop barrier for use in such an etching is provided. A silicon nitride (SixNy) barrier having a Six to Ny ratio (x:y) of less than about 0.8 and preferably the stoichiometric amount of 0.75 provides excellent resilience to positive mobile ion contamination, but poor etch selectivity. However, a silicon nitride barrier having a ratio of Six to Nx (x:y) of 1.0 or greater has excellent etch selectivity with respect to SiO2 but a poor barrier to positive mobile ion contamination. A barrier of silicon nitride is formed on a doped silicon substrate which barrier has two sections. One section has a greater etch selectivity with respect to silicon dioxide than the second section and the second section has a greater resistance to transmission of positive mobile ions than the first section. One section adjacent the silicon substrate has a silicon to nitrogen ratio of less than about 0.8.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: April 15, 2003
    Assignee: International Business Machines Corporation
    Inventors: Chung Hon Lam, Eric Seung Lee, Francis Roger White
  • Patent number: 6498079
    Abstract: Deep profile and highly doped impurity regions can be formed by diffusing from a solid source or doped silicon glass and using a patterned nitride layer. An oxide etch stop and polysilicon sacrificial layer are left in place in the patterned regions and the dopant is diffused through those layers. The polysilicon provides sacrificial silicon that serves to prevent the formation of boron silicon nitride on the substrate surface and also protects the oxide layer during etching of the silicon glass layer. The oxide layer then acts as an etch stop during removal of the polysilicon layer. In this way, no damage done to the substrate surface during the diffusion or subsequent etch steps and the need for expensive ion implanter steps is avoided.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: December 24, 2002
    Assignee: STMicroelectronics, Inc.
    Inventors: Frank Randolph Bryant, Kenneth Wayne Smiley
  • Patent number: 6455412
    Abstract: A contact opening through an insulating layer is filled with metal and etched back to form a metal plug within the opening. A metal interconnect line can then be formed over the contact, and makes electrical contact with the metal plug. Since the contact opening is filled by the metal plug, it is not necessary for the metal signal line to have a widened portion in order to ensure enclosure.
    Type: Grant
    Filed: August 6, 1991
    Date of Patent: September 24, 2002
    Assignee: STMicroelectronics, Inc.
    Inventors: Fu-Tai Liou, Charles Ralph Spinner
  • Publication number: 20020123166
    Abstract: A method for manufacturing a semiconductor includes: a first step of forming an etching stop layer on a first semiconductor layer; and a second step of forming a second semiconductor layer made of a group III-V compound semiconductor on the etching stop layer. An etching rate for the etching stop layer by dry etching is less than an etching rate for the second semiconductor layer.
    Type: Application
    Filed: November 27, 2001
    Publication date: September 5, 2002
    Inventors: Yoshiaki Hasegawa, Gaku Sugahara, Ryoko Miyanaga
  • Patent number: 6423645
    Abstract: The present invention discloses a method for forming a self-aligned contact. In the present invention, a amorphous SiC layer or a HexaChloroDisilane-SiN (HCD-SiN) layer is formed on the surface of a transistor as an etching stopper layer. After removing part of the etching stopper layer, a gate protection film is formed on the surface of the gate electrode of a transistor. Due to the high etching selectivity of the gate protection film to the dielectric layer, the gate protection film effectively prevents the gate electrode of a transistor from being etched in the contact-etching process. In addition, the gate protection film has a low dielectric constant thereby reducing the parasitic capacitance of a bit line formed by the self-aligned contact forming method according to the present invention.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: July 23, 2002
    Assignee: Mosel Vitelic Inc.
    Inventors: Houng-chi Wei, Tsong-lin Shen
  • Patent number: 6417035
    Abstract: It is an object of the invention to solve a problem that a gate breakdown voltage and RF characteristics of a field effect transistor, which is provided with a double recess composed of a wide recess and a narrow recess, is not satisfactory. This problem results from the fact that a AlGaAs layer is exposed on a surface of the wide recess. The method for fabricating the field effect transistor comprise the steps of successively forming the first active layer, the first stopper layer, the second active layer, the second stopper layer and the third active layer on a substrate, forming a wide recess by etching a predetermined part of the third active layer till the second stopper is exposed, exposing the second active layer by removing the second stopper layer exposed on the bottom surface of the wide recess, and forming a narrow recess, which has a smaller aperture area than that of the wide recess, by etching a predetermined part of the exposed second active layer till the first stopper layer is exposed.
    Type: Grant
    Filed: September 1, 1999
    Date of Patent: July 9, 2002
    Assignee: NEC Corporation
    Inventor: Junko Morikawa
  • Patent number: 6413846
    Abstract: A method of forming conductive contacts or an integrated circuit device is disclosed herein. In one embodiment, the method comprises forming a transistor above a semiconducting substrate, and forming a first layer comprised of an orthosilicate glass material above the transistor and the substrate. The method further comprises forming a second layer comprised of an insulating material above the first layer, and performing at least one etching process to define an opening in the second layer for a conductive contact to be formed therein, wherein the first layer comprised of an orthosilicate glass material acts as an etch stop layer during the etching of the opening in the second layer.
    Type: Grant
    Filed: November 14, 2000
    Date of Patent: July 2, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Errol Todd Ryan, Frederick N. Hause, Frank Mauersberger, William S. Brennan, John A. Iacoponi, Peter J. Beckage
  • Patent number: 6410426
    Abstract: The invention describes a method for forming integrated circuit interconnects. A capping layer (50) is formed on a low k dielectric layer (40). The capping layer (50) and the low k dielectric layer (40) are etched to form a via and/or trench in the low k dielectric (4) which is filled with a conducting material (90) (95).
    Type: Grant
    Filed: July 9, 2001
    Date of Patent: June 25, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Guoqiang Xing, Ping Jiang
  • Patent number: 6406978
    Abstract: A method of removing silicon carbide. A silicon wafer is used as a dummy wafer for inspecting the properties of a silicon carbide thin film which is to be formed thereover. A silicon nitride layer with a thickness larger than about 1000 angstroms is formed on the dummy wafer as a base layer of the silicon carbid thin film. The silicon carbide thin film is then formed on the base layer. The property inspection of the silicon carbide thin film is performed. After the properties inspection, the silicon carbide is stripped using a high density hydrogen plasma. After the step of high density hydrogen plasma, if the remaining silicon nitride layer is thicker than about 500 angstroms, the remaining silicon nitride layer can be used as the base layer again for forming and inspecting the properties of the silicon carbide thin film.
    Type: Grant
    Filed: November 18, 2000
    Date of Patent: June 18, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Hui Yang, Ming-Sheng Yang, Chien-Mei Wang
  • Patent number: 6391710
    Abstract: In one aspect, the invention includes an etching process, comprising: a) providing a first material over a substrate, the first material comprising from about 2% to about 20% carbon (by weight); b) providing a second material over the first material; and c) etching the second material at a faster rate than the first material. In another aspect, the invention includes a capacitor forming method, comprising: a) forming a wordline over a substrate; b) defining a node proximate the wordline; c.) forming an etch stop layer over the wordline, the etch stop layer comprising carbon; d) forming an insulative layer over the etch stop layer; e) etching through the insulative layer to the etch stop layer to form an opening through the insulative layer; and e) forming a capacitor construction comprising a storage node, dielectric layer and second electrode, at least a portion of the capacitor construction being within the opening.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: May 21, 2002
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Guy T. Blalock, Scott Jeffrey DeBoer
  • Patent number: 6331467
    Abstract: A semiconductor body (1) is provided having a first semiconductor region (3) of one conductivity type separated from a first major surface (5a) by a second semiconductor region (5) of the opposite conductivity type. A trench (7) is etched through the second semiconductor region (5) to an etch stop layer (4) provided in the region of the pn junction between the first (3) and second (5) regions, by using an etching process which enables the etching process to be stopped at the etch stop layer. A gate (8, 9) is provided within the trench (7). A source (12) separated from the first region (3) by the second region (5) is formed adjacent the trench so that a conduction channel area (50) of the second region (5) adjacent the trench provides a conduction path between the source and first regions which is controllable by the gate.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: December 18, 2001
    Assignee: U.S. Philips Corporation
    Inventors: Adam R. Brown, Raymond J. E. Hueting, Godefridus A. M. Hurkx
  • Patent number: 6326301
    Abstract: A dual inlaid copper interconnect structure uses a plasma enhanced nitride (PEN) bottom capping layer and a silicon rich silicon oxynitride intermediate etch stop layer. The interfaces (16a, 16b, 20a, and 20b) between these layers (16 and 20) and their adjacent dielectric layers (18 and 22) are positioned in the stack (13) independent of the desired aspect ratio of trench openings of the copper interconnect in order to improve optical properties of the dielectric stack (13). Etch processing is then used to position the layers (16) and (20) at locations within the inlaid structure depth that result in one or more of reduced DC leakage current, improved optical performance, higher frequency of operation, reduced cross talk, increased flexibility of design, or like improvements.
    Type: Grant
    Filed: July 13, 1999
    Date of Patent: December 4, 2001
    Assignee: Motorola, Inc.
    Inventors: Suresh Venkatesan, Bradley P. Smith, Mohammed Rabiul Islam
  • Patent number: 6309963
    Abstract: In a method of manufacturing a semiconductor device wherein the step of forming a titanium film and a titanium nitride film on an aluminum-based alloy film overlying a substrate is carried out for a plurality of such substrates in succession; the titanium film and the titanium nitride film are formed within an identical chamber by changing a processing gas, and under the condition that, in case of forming the titanium film by sputtering, a titanium target having been employed for the formation of the titanium nitride film is used. Thus, the formation of an aluminum-titanium alloy layer attributed to a heat treatment at or above 400° C. can be suppressed to enhance an electromigration immunity.
    Type: Grant
    Filed: February 3, 2000
    Date of Patent: October 30, 2001
    Assignee: Sony Corporation
    Inventor: Hajime Yamagishi
  • Patent number: 6287959
    Abstract: Reflection of incident optical radiation from a highly reflective metal layer (12), such as aluminum, copper or titanium, into a photoresist layer (16) is reduced by interposing a layer of silicon oxynitride (14) between the metal and photoresist layers. The silicon oxynitride layer (14) is pre-treated with an oxidizing plasma to deplete surface nitrogen and condition the silicon oxynitride layer (14) to be more compatible with deep ultraviolet photoresists. The silicon oxynitride layer (14) further serves as an etch stop in the formation of interconnect openings (40), such as vias, contacts and trenches. The interconnect opening (40) is filled with a second metallization layer to achieve multi-layer electrical interconnection.
    Type: Grant
    Filed: April 23, 1998
    Date of Patent: September 11, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christopher F. Lyons, Bhanwar Singh
  • Patent number: 6281130
    Abstract: There is provided a method of applying a developing liquid onto a semiconductor wafer substrate having a UTR film thereon so as to minimize unexposed film thickness loss during development. This is achieved by applying the developing liquid from a developer nozzle which is off-set from the central position of the wafer substrate. The developing liquid is allowed to contact the wafer substrate for less than 10 seconds. As a result, there is overcome the problems of unexposed film thickness loss and critical dimension variations due to the developer nozzle effects.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: August 28, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Christopher L. Pike
  • Patent number: 6271133
    Abstract: A new method is established to form different silicide layers over the top of the gate electrode and the surface of the source/drain regions. A thin layer of TiSi2 is formed over the source/drain regions by depositing a layer of titanium and annealing this layer with the silicon substrate. The gate electrode is created as a recessed electrode, in the top recession of the electrode a layer of CoSi2 is formed by depositing a layer of cobalt over the gate electrode. This layer of COSi2 serves as the electrical gate contact point.
    Type: Grant
    Filed: April 12, 1999
    Date of Patent: August 7, 2001
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chong Wee Lim, Eng Hua Lim, Kin Leong Pey, Soh Yun Siah, Chun Hui Low
  • Patent number: 6235608
    Abstract: A process for forming shallow trench isolation (STI) structures. It includes the steps of: (a) depositing a composite silicon nitride on to the silicon substrate; (b) forming a shallow trench on the silicon substrate by etching, using the composite silicon nitride as the hard mask; (c) depositing a filler oxide layer inside the shallow trench as well as on top of the composite silicon nitride, using a chemical vapor deposition (CVD) method; and (d) using a chemical-mechanical polishing (CMP) process to planarize the filler oxide layer using the composite nitride as a CMP stop. The composite silicon nitride comprises a plurality of silicon nitride layers whose CMP removal rate increases with the distance from the silicon substrate. Additionally, a composite silicon oxide layer can be formed on top of the filler oxide layer which comprises a plurality of silicon oxide layers whose CMP removal rate increases with the distance from the silicon substrate.
    Type: Grant
    Filed: April 14, 1999
    Date of Patent: May 22, 2001
    Assignee: Winbond Electronics Corp.
    Inventors: Chi-Fa Lin, Wei-Tsu Tseng, Min-Shinn Feng
  • Patent number: 6232225
    Abstract: A method of fabricating a contact window of a semiconductor device, whereby a contact window of a semiconductor device is increased to offset any incline phenomenom and avoid unwanted increase in contact sheet resistance, comprises forming a lower conductive member on a semiconductor substrate, forming a first insulative film on the lower conductive member, the first insulative film being formed of an insulative material doped with impurities at a first level of concentration, the first insulative film having a wet etch rate that is proportional to the level of concentration of impurities, forming a second insulative film on the first insulative film, the second insulative film being formed of an insulative material doped with impurities at a second level of concentration that is lower than the first level of concentration of impurities, the second insulative film also having a wet etch rate that is proportional to the level of concentration of impurities, opening a contact window and exposing the lower condu
    Type: Grant
    Filed: March 24, 1999
    Date of Patent: May 15, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chil-kun Pong, Joo-hyun Jin
  • Publication number: 20010001075
    Abstract: A semiconductor memory device such as a flash Electrically Erasable Programmable Read-Only Memory (Flash EEPROM) includes a floating gate with high data retention. A tungsten damascene local interconnect structure includes a silicon nitride etch stop layer which is formed using Plasma Enhanced Chemical Vapor Deposition (PECVD) at a temperature of at least 480° C. such that the etch stop layer has a very low concentration of hydrogen ions. The minimization of hydrogen ions, which constitute mobile positive charge carriers, in the etch stop layer, minimizes recombination of the hydrogen ions with electrons on the floating gate, and thereby maximizes data retention of the device.
    Type: Application
    Filed: December 20, 2000
    Publication date: May 10, 2001
    Applicant: Vantis Corporation
    Inventors: Minh Van Ngo, Sunil Mehta