Patents Examined by Christopher G. Young
  • Patent number: 11454882
    Abstract: A pellicle for a reflective mask includes a pellicle body, a pellicle frame below the pellicle body to support the pellicle body, and a pattern structure in at least a part of a surface of the pellicle body, wherein the pattern structure includes a plurality of patterns.
    Type: Grant
    Filed: July 7, 2020
    Date of Patent: September 27, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jinhwan Lee, Jeonggil Kim, Sunghyup Kim
  • Patent number: 11456222
    Abstract: An overlay correction method may include obtaining a first central line of a lower pattern on a substrate, forming a photoresist pattern on the lower pattern, obtaining an ADI overlay value corresponding to a first distance between a second central line of an upper flat surface of the lower pattern and a third central line of the photoresist pattern, obtaining an asymmetrical overlay value corresponding to a second distance between the first and second central lines, form an upper pattern using the photoresist pattern, obtaining an ACI overlay value corresponding to a third distance between the first central line and a fourth central line of the upper pattern, subtracting the ADI overlay value from the ACI overlay value to obtain a first overlay skew value, and adding the asymmetrical overlay value to the first overlay skew value to obtain a second overlay skew value.
    Type: Grant
    Filed: May 28, 2020
    Date of Patent: September 27, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Yong Jung, Jinsun Kim, Seungyoon Lee, Jeongjin Lee, Chan Hwang
  • Patent number: 11448975
    Abstract: An overlay mark includes a first, a second, a third, and a fourth component. The first component is located in a first region of the first overlay mark and includes a plurality of gratings that extend in a first direction. The second component is located in a second region of the first overlay mark and includes a plurality of gratings that extend in the first direction. The third component is located in a third region of the first overlay mark and includes a plurality of gratings that extend in a second direction different from the first direction. The fourth component is located in a fourth region of the first overlay mark and includes a plurality of gratings that extend in the second direction. The first region is aligned with the second region. The third region is aligned with the fourth region.
    Type: Grant
    Filed: February 9, 2021
    Date of Patent: September 20, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Ching Lee, Te-Chih Huang, Yu-Piao Fang
  • Patent number: 11442356
    Abstract: A multi-layer reflective structure is disposed over the substrate. An amorphous capping layer is disposed over the multi-layer reflective structure. The amorphous capping layer may contain ruthenium, oxygen, niobium, nitrogen, tantalum, or zirconium. An amorphous layer may also be disposed between the multi-layer reflective structure and the amorphous capping layer. The amorphous layer includes amorphous silicon, amorphous silicon oxide, or amorphous silicon nitride.
    Type: Grant
    Filed: May 11, 2020
    Date of Patent: September 13, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsin-Chang Lee, Pei-Cheng Hsu, Chih-Tao Chien, Ming-Wei Chen, Ta-Cheng Lien
  • Patent number: 11435661
    Abstract: A blankmask for EUV includes a substrate, a reflection film that is stacked on the substrate; and an absorbing film that is stacked on the reflection film. The reflection film includes at least one Mo/Si layer that includes a Mo layer and a Si layer, and at least one Ru/Si layer that includes a Ru layer and a Si layer. Interdiffusion between the respective layers forming the reflection film is suppressed in a blankmask for EUV having a reflection film. Accordingly, the reflectance of the blankmask is improved, and the decrease in reflectance due to use after the manufacturing is prevented, thereby extending the life of the photomask.
    Type: Grant
    Filed: November 18, 2020
    Date of Patent: September 6, 2022
    Assignee: S&S TECH Co., Ltd.
    Inventors: Chul-Kyu Yang, Gil-Woo Kong
  • Patent number: 11435662
    Abstract: In the present invention, an etching stopper film (2), a light-blocking film (3) comprising a material containing one or more elements selected from among silicon and tantalum, and a hard mask film (4) are laminated in that order on a translucent substrate (1). The etching stopper film is made of a material containing chromium, oxygen and carbon, the chromium content is 50 atom % or more, the maximum peak in a N1s narrow spectrum obtained by means of analysis using X-Ray photoelectron spectroscopy is below the detection limit, and a Cr2p narrow spectrum obtained by means of analysis using X-Ray photoelectron spectroscopy has a maximum peak at a bond energy of 574 eV or less.
    Type: Grant
    Filed: August 2, 2021
    Date of Patent: September 6, 2022
    Assignee: HOYA CORPORATION
    Inventors: Ryo Ohkubo, Hiroaki Shishido, Takashi Uchida
  • Patent number: 11429029
    Abstract: A method includes projecting an illumination beam of radiation onto a metrology target on a substrate, detecting radiation reflected from the metrology target on the substrate, and determining a characteristic of a feature on the substrate based on the detected radiation, wherein a polarization state of the detected radiation is controllably selected to optimize a quality of the detected radiation.
    Type: Grant
    Filed: October 27, 2020
    Date of Patent: August 30, 2022
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Maurits Van Der Schaar, Patrick Warnaar, Youping Zhang, Arie Jeffrey Den Boef, Feng Xiao, Martin Ebert
  • Patent number: 11422457
    Abstract: A pellicle characterized by having an amount of released aqueous gas of 1×10?3 Pa·L/s or less per pellicle, an amount of released hydrocarbon-based gas of 1×10?5 Pa·L/s or less per pellicle in a range of measured mass number of 45 to 100 amu, and an amount of released hydrocarbon-based gas of 4×10?7 Pa·L/s or less per pellicle in a range of measured mass number of 101 to 200 amu, under vacuum after the pellicle has been left to stand for 10 minutes in an atmosphere of 23° C. and 1×10?3 Pa or less.
    Type: Grant
    Filed: May 27, 2021
    Date of Patent: August 23, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11422479
    Abstract: Systems and methods described herein relate to the manufacture of optical elements and optical systems. An example method includes overlaying a first mask on a photoresist material and a substrate, and causing a light source to illuminate the photoresist material through the first mask during a first exposure so as to define a first feature. During the first exposure, the light source is positioned at a non-normal angle with respect to a plane parallel to the substrate. The method includes developing the photoresist material so as to retain an elongate portion of the photoresist material on the substrate. A first end of the elongate portion includes an angled portion that is sloped at an angle with respect to a long axis of the elongate portion. The method also includes depositing a reflective material through a second mask onto the angled portion.
    Type: Grant
    Filed: March 1, 2021
    Date of Patent: August 23, 2022
    Assignee: Waymo LLC
    Inventors: Bernard Fidric, Pierre-Yves Droz, David Hutchison
  • Patent number: 11422466
    Abstract: A method of making a semiconductor device includes forming at least one fiducial mark on a photomask outside of a pattern region of the photomask, and the at least one fiducial mark includes identifying information for the photomask. The method includes defining a pattern including a plurality of sub-patterns on the photomask in the pattern region based on the identifying information. The defining of the pattern includes defining a first sub-pattern of the plurality of sub-patterns having a first spacing from a second sub-pattern of the plurality of sub-patterns, wherein the first spacing is different from a second spacing between the second sub-pattern and a third sub-pattern of the plurality of sub-patterns, or rotating the first sub-pattern about an axis perpendicular to a top surface of the photomask relative to the second sub-pattern. The method includes transferring the pattern from the photomask to a wafer.
    Type: Grant
    Filed: June 1, 2021
    Date of Patent: August 23, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsin-Chang Lee, Ping-Hsun Lin, Chih-Cheng Lin, Chia-Jen Chen
  • Patent number: 11415890
    Abstract: A method for mask data synthesis and mask making includes calibrating an optical proximity correction (OPC) model by adjusting a plurality of parameters including a first parameter and a second parameter, wherein the first parameter indicates a long-range effect caused by an electron-beam lithography tool for making a mask used to manufacture a structure, and the second parameter indicates a geometric feature of a structure or a manufacturing process to make the structure, generating a device layout, calculating a first grid pattern density map of the device layout, generating a long-range correction map, at least based on the calibrated OPC model and the first grid pattern density map of the device layout, and performing an OPC to generate a corrected mask layout, at least based on the generated long-range correction map and the calibrated OPC model.
    Type: Grant
    Filed: March 8, 2021
    Date of Patent: August 16, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsu-Ting Huang, Shih-Hsiang Lo, Ru-Gun Liu
  • Patent number: 11415878
    Abstract: A photomask assembly may be formed such that stress relief trenches are formed in a pellicle frame of the photomask assembly. The stress relief trenches may reduce or prevent damage to a pellicle that may otherwise result from deformation of the pellicle. The stress relief trenches may be formed in areas of the pellicle frame to allow the pellicle frame to deform with the pellicle, thereby reducing the amount damage to the pellicle caused by the pellicle frame.
    Type: Grant
    Filed: September 15, 2020
    Date of Patent: August 16, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Hao Lee, You-Cheng Jhang, Han-Zong Pan, Jui-Chun Weng, Chiu-Hua Chung, Sheng-Yuan Lin, Hsin-Yu Chen
  • Patent number: 11415874
    Abstract: A reflective mask blank including a substrate, and a multilayer reflection film for EUV light reflection, a protection film, and an absorber film for EUV light absorption formed on one main surface of the substrate in this order from the substrate side, and a conductive film formed on another main surface of the substrate, a coordinate reference mark is formed on the other main surface side.
    Type: Grant
    Filed: August 26, 2020
    Date of Patent: August 16, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsuneo Terasawa, Hideo Kaneko, Yukio Inazuki, Takuro Kosaka
  • Patent number: 11415876
    Abstract: The present disclosure relates to a fabrication method of a photomask. The method of fabricating a photomask provides for a layout of patterns to be designed. The layout of patterns may be formed on a wafer on which chips are formed. The layout of patterns are corrected to provide a layout of a photoresist pattern serving as an etching mask for forming the patterns on the wafer while generating a flare map of the patterns. An optical proximity correction (OPC) may be performed at a chip level on the corrected layout of patterns to perform a secondary correction of the layout of patterns. A second OPC may be performed at a level of a shot which includes a plurality of ones of the chips by reflecting the flare map on the second corrected layout of patterns to a third corrected layout of patterns.
    Type: Grant
    Filed: September 24, 2020
    Date of Patent: August 16, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kangmin Jung, Sangwook Park, Youngdeok Kwon, Myungsoo Noh
  • Patent number: 11409193
    Abstract: A reticle for an apparatus for EUV exposure and a method of manufacturing a reticle, the reticle including a substrate including an edge region and a main region; a multi-layer structure on the main region and the edge region, a sidewall of the multi-layer structure overlying the edge region; a capping layer covering an upper surface and the sidewall of the multi-layer structure and at least a portion of the edge region of the substrate; and an absorber layer on the capping layer, the absorber layer covering an entire upper surface of the capping layer on the edge region of the substrate, wherein a stacked structure of the capping layer and the absorber layer is on an upper surface of the edge region of the substrate, and a sidewall of the stacked structure of the capping layer and the absorber layer is perpendicular to an upper surface of the substrate.
    Type: Grant
    Filed: September 22, 2020
    Date of Patent: August 9, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Mankyu Kang, Hoon Kim, Jongkeun Oh, Minho Kim, Heebom Kim
  • Patent number: 11402747
    Abstract: Examples of a multiple-mask multiple-exposure lithographic technique and suitable masks are provided herein. In some examples, a photomask includes a die area and a stitching region disposed adjacent to the die area and along a boundary of the photomask. The stitching region includes a mask feature for forming an integrated circuit feature and an alignment mark for in-chip overlay measurement.
    Type: Grant
    Filed: May 3, 2021
    Date of Patent: August 2, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Peter Yu, Chih-Tung Hsu, Kevin Wang, Chih-Chia Hu, Roger Chen
  • Patent number: 11402743
    Abstract: A photolithographic mask assembly according to the present disclosure accompanies a photolithographic mask. The photolithographic mask includes a capping layer over a substrate and an absorber layer disposed over the capping layer. The absorber layer includes a first main feature area, a second main feature area, and a venting feature area disposed between the first main feature area and the second main feature area. The venting feature area includes a plurality of venting features.
    Type: Grant
    Filed: August 31, 2020
    Date of Patent: August 2, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chi-Ta Lu, Chih-Chiang Tu, Cheng-Ming Lin, Ching-Yueh Chen, Wei-Chung Hu, Ting-Chang Hsu, Yu-Tung Chen
  • Patent number: 11397379
    Abstract: The present invention is to provide a pellicle frame characterized by including a metal or alloy having a linear expansion coefficient of 10×10?6 (1/K) or less and further a density of 4.6 g/cm3 or less, and a pellicle characterized by including the pellicle frame as an element.
    Type: Grant
    Filed: August 21, 2020
    Date of Patent: July 26, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11392024
    Abstract: A portion of a buffer layer on a backside of a substrate of a photomask assembly may be removed prior to formation of one or more capping layers on the backside of the substrate. The one or more capping layers may be formed directly on the backside of the substrate where the buffer layer is removed from the substrate, and a hard mask layer may be formed directly on the one or more capping layers. The one or more capping layers may include a low-stress material to promote adhesion between the one or more capping layers and the substrate, and to reduce and/or minimize peeling and delamination of the capping layer(s) from the substrate. This may reduce the likelihood of damage to the pellicle layer and/or other components of the photomask assembly and/or may increase the yield of an exposure process in which the photomask assembly is used.
    Type: Grant
    Filed: November 13, 2020
    Date of Patent: July 19, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Hao Lee, Hsi-Cheng Hsu, Jui-Chun Weng, Han-Zong Pan, Hsin-Yu Chen, You-Cheng Jhang
  • Patent number: 11392023
    Abstract: A method of manufacturing a semiconductor device includes forming a lower structure including first repetitive patterns, and forming an upper structure including forming second repetitive patterns to correspond to each of the first repetitive patterns on the lower structure, and the forming second repetitive patterns includes preparing a design layout for the second repetitive patterns, forming a first correction layout including corrected second repetitive patterns by performing optical proximity correction (OPC) on the design layout, forming a second correction layout by performing position correction on the first correction layout to move a position of the corrected second repetitive patterns to correspond to a changed position of the first repetitive patterns according to physical deformation of the lower structure, manufacturing a mask using the second correction layout, and patterning a photoresist layer using the mask.
    Type: Grant
    Filed: March 17, 2020
    Date of Patent: July 19, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyungjae Park, Moojoon Shin, Jongsu Park