Patents Examined by Deoram Persaud
  • Patent number: 11669019
    Abstract: A method for determining stochastic edge placement error associated with a pattern. The method includes acquiring, via a metrology tool, a plurality of images of the pattern at a defined location on the substrate without performing a substrate alignment therebetween; and generating at least two data: (i) first data associated with the pattern using a first set of images of the plurality of images, and (ii) second data associated with the pattern using a second set of images of the plurality of images. The first set of images and the second set of images include at least one different image. The method further includes determining (e.g., via a decomposition algorithm), using the first data and the second data associated with the pattern at the defined location, the stochastic edge placement error associated with the pattern.
    Type: Grant
    Filed: April 28, 2020
    Date of Patent: June 6, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Jiyou Fu
  • Patent number: 11662665
    Abstract: A lithography method using a multiscale simulation includes estimating a shape of a virtual resist pattern for a selected resist based on a multiscale simulation; forming a test resist pattern by performing an exposure process on a layer formed of the selected resist; determining whether an error range between the test resist pattern and the virtual resist pattern is in an allowable range; and forming a resist pattern on a patterning object using the selected resist when the error range is in the allowable range. The multiscale simulation may use molecular scale simulation, quantum scale simulation, and a continuum scale simulation, and may model a unit lattice cell of the resist by mixing polymer chains, a photo-acid generator (PAG), and a quencher.
    Type: Grant
    Filed: February 16, 2022
    Date of Patent: May 30, 2023
    Assignees: Samsung Electronics Co., Ltd., Seoul National University R&DB Foundation
    Inventors: Byunghoon Lee, Maenghyo Cho, Changyoung Jeong, Muyoung Kim, Junghwan Moon, Sungwoo Park, Hyungwoo Lee
  • Patent number: 11654375
    Abstract: A system for detecting specular surfaces, the system including an image sensor that captures image data from an area, a first light emitter that emits a first light into the area from a first position, a second light emitter that emits a second light into the area from a second position, and control circuitry. The control circuitry operates to acquire first image data from the image sensor while the first light emitter is active and the second light emitter is inactive, acquire second image data from the image sensor while the second light emitter is active and the first light emitter is inactive, and process the first image data with the second image data to identify non-overlapping image data between the first image data and the second image data as a specular surface.
    Type: Grant
    Filed: August 29, 2019
    Date of Patent: May 23, 2023
    Assignee: Universal City Studios LLC
    Inventor: John David Smith
  • Patent number: 11650510
    Abstract: A projection optical unit for microlithography includes a plurality of mirrors and has a numerical aperture having a value larger than 0.5. The plurality of mirrors includes at least three grazing incidence mirrors, which deflect a chief ray of a central object field point with an angle of incidence of greater than 45°. Different polarized light beams passing the projection optical unit are rotated in their polarization direction by different angles of rotation. The projection optical unit includes first and second groups of mirrors. The second group of mirrors includes the final two mirrors of the plurality of mirrors at the image side. A linear portion in the pupil dependence of the total geometrical polarization rotation of the projection optical unit is less than 20% of a linear portion in the pupil dependence of the geometrical polarization rotation of the second group of mirrors.
    Type: Grant
    Filed: December 16, 2021
    Date of Patent: May 16, 2023
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hans-Juergen Rostalski, Holger Muenz, Christoph Menke
  • Patent number: 11650511
    Abstract: A support table for a lithographic apparatus, the support table having a support section and a conditioning system, wherein the support section, the conditioning system, or both, is configured such that heat transfer to or from a substrate supported on the support table, resulting from the operation of the conditioning system, is greater in a region of the substrate adjacent an edge of the substrate than it is in a region of the substrate that is at the center of the substrate.
    Type: Grant
    Filed: August 14, 2020
    Date of Patent: May 16, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Johan Gertrudis Cornelis Kunnen, Martijn Houben, Thibault Simon Mathieu Laurent, Hendrikus Johannes Marinus Van Abeelen, Armand Rosa Jozef Dassen, Sander Catharina Reinier Derks
  • Patent number: 11650512
    Abstract: Some implementations described herein provide a reticle cleaning device and a method of use. The reticle cleaning device includes a support member configured for extension toward a reticle within an extreme ultraviolet lithography tool. The reticle cleaning device also includes a contact surface disposed at an end of the support member and configured to bond to particles contacted by the contact surface. The reticle cleaning device further includes a stress sensor configured to measure an amount of stress applied to the support member at the contact surface. During a cleaning operation in which the contact surface is moving toward the reticle, the stress sensor may provide an indication that the amount of stress applied to the support member satisfies a threshold. Based on satisfying the threshold, movement of the contact surface and/or the support member toward the reticle ceases to avoid damaging the reticle.
    Type: Grant
    Filed: March 22, 2022
    Date of Patent: May 16, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Che-Chang Hsu, Sheng-Kang Yu, Shang-Chieh Chien, Li-Jui Chen, Heng-Hsin Liu
  • Patent number: 11650508
    Abstract: A system for controlling plasma position in extreme ultraviolet lithography light sources may include a vacuum chamber, a droplet generator to dispense a stream of droplets into the vacuum chamber, wherein the droplets are formed from a metal material, a laser light source to fire a plurality of laser pulses, including at least a first pulse and a second pulse, into the vacuum chamber, a sensor to detect an observed plasma position within the chamber, wherein the observed plasma position comprises a position at which the plurality of laser pulses vaporizes a droplet of the stream of droplets to produce a plasma that emits extreme ultraviolet radiation, and a first feedback loop connecting the sensor to the laser light source, wherein the first feedback loop adjusts a time delay between the first and second pulses to minimize a difference between the observed plasma position and a target plasma position.
    Type: Grant
    Filed: June 12, 2020
    Date of Patent: May 16, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ssu-Yu Chen, Hsin-Feng Chen, Chi Yang, Li-Jui Chen
  • Patent number: 11635698
    Abstract: A method for generating metrology sampling scheme for a patterning process, the method including: obtaining a parameter map of a parameter of a patterning process for a substrate; decomposing the parameter map to generate a fingerprint specific to an apparatus of the patterning process and/or a combination of apparatuses of the patterning process; and based on the fingerprint, generating a metrology sampling scheme for a subsequent substrate at the apparatus of the patterning process and/or the combination of apparatuses of the patterning process, wherein the sampling scheme is configured to distribute sampling points on the subsequent substrate so as to improve a metrology sampling density.
    Type: Grant
    Filed: December 17, 2018
    Date of Patent: April 25, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Wim Tjibbo Tel, Yichen Zhang, Sarathi Roy
  • Patent number: 11635699
    Abstract: Methods for training a process model and determining ranking of simulated patterns (e.g., corresponding to hot spots). A method involves obtaining a training data set including: (i) a simulated pattern associated with a mask pattern to be printed on a substrate, (ii) inspection data of a printed pattern imaged on the substrate using the mask pattern, and (iii) measured values of a parameter of the patterning process applied during imaging of the mask pattern on the substrate; and training a machine learning model for the patterning process based on the training data set to predict a difference in a characteristic of the simulated pattern and the printed pattern. The trained machine learning model can be used for determining a ranking of hot spots. In another method a model is trained based on measurement data to predict ranking of the hot spots.
    Type: Grant
    Filed: December 4, 2019
    Date of Patent: April 25, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Youping Zhang, Maxime Philippe Frederic Genin, Cong Wu, Jing Su, Weixuan Hu, Yi Zou
  • Patent number: 11635682
    Abstract: A method for process control in the manufacture of semiconductor devices including performing metrology on at least one Design of Experiment (DOE) semiconductor wafer included in a lot of semiconductor wafers, the lot forming part of a batch of semiconductor wafer lots, generating, based on the metrology, one or more correctables to a process used to manufacture the lot of semiconductor wafers and adjusting, based on the correctables, the process performed on at least one of; other semiconductor wafers included in the lot of semi-conductor wafers, and other lots of semiconductor wafers included in the batch.
    Type: Grant
    Filed: April 23, 2020
    Date of Patent: April 25, 2023
    Assignee: KLA Corporation
    Inventors: Roie Volkovich, Liran Yerushalmi, Achiam Bar
  • Patent number: 11630251
    Abstract: A method of forming patterned features on a substrate is provided. The method includes positioning a plurality of masks arranged in a mask layout over a substrate. The substrate is positioned in a first plane and the plurality of masks are positioned in a second plane, the plurality of masks in the mask layout have edges that each extend parallel to the first plane and parallel or perpendicular to an alignment feature on the substrate, the substrate includes a plurality of areas configured to be patterned by energy directed through the masks arranged in the mask layout. The method further includes directing energy towards the plurality of areas through the plurality of masks arranged in the mask layout over the substrate to form a plurality of patterned features in each of the plurality of areas.
    Type: Grant
    Filed: January 7, 2022
    Date of Patent: April 18, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Yongan Xu, Rutger Meyer Timmerman Thijssen, Jinrui Guo, Ludovic Godet
  • Patent number: 11630399
    Abstract: A lithographic apparatus is disclosed that includes a substrate table configured to support a substrate on a substrate supporting area and a heater and/or temperature sensor on a surface adjacent the substrate supporting area.
    Type: Grant
    Filed: March 18, 2022
    Date of Patent: April 18, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Nicolaas Ten Kate, Joost Jeroen Ottens, Bastiaan Andreas Wilhelmus Hubertus Knarren, Robbert Jan Voogd, Giovanni Francisco Nino, Marinus Jan Remie, Johannes Henricus Wilhelmus Jacobs, Thibault Simon Mathieu Laurent, Johan Gertrudis Cornelis Kunnen
  • Patent number: 11630395
    Abstract: A control system, for example for an optical system, includes: an actuating element; a measuring element for acquiring actuating element measurement data of the actuating element; a regulating unit for generating a regulating signal for regulating the actuating element depending on the acquired actuating element meas-urement data; and a state monitoring unit for monitoring a state of the control system depending on the acquired actuating element measurement data. The state monitoring unit includes: a first processing unit for generating preprocessed state data depending on (i) the acquired actuating element measurement data and a physical model and/or a mathematical model of the actuating element, or (ii) the acquired actuating element measurement data, a physical model and/or a mathematical model of the actuating element and the generated regulating signal; and a second processing unit for determining the state of the control system depending on the preprocessed state data.
    Type: Grant
    Filed: August 6, 2021
    Date of Patent: April 18, 2023
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Malte Hoffmann, Gunther Schulz
  • Patent number: 11619884
    Abstract: A method for determining a target feature in a model of a patterning process based on local electric fields estimated for the patterning process is described. The method includes obtaining a mask stack region of interest. The mask stack region of interest has one or more characteristics associated with propagation of electromagnetic waves through the mask stack region of interest. The mask stack region of interest includes the target feature. The method includes estimating a local electric field based on the one or more characteristics associated with the propagation of electromagnetic waves through the mask stack region of interest. The local electric field is estimated for a portion of the mask stack region of interest in proximity to the target feature. The method includes determining the target feature based on the estimated local electric field.
    Type: Grant
    Filed: November 12, 2019
    Date of Patent: April 4, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Leon Paul Van Dijk, Oktay Yildirim, Orion Jonathan Pierre Mouraille
  • Patent number: 11619886
    Abstract: A position measurement system including a first interferometer and a second interferometer arranged to determine a distance of the object in a first direction when the object is in a first measurement area by emitting beams onto a target surface of the object. The position measurement system further has a third interferometer and a fourth interferometer arranged to determine a distance of the object in the first direction when the object is in a second measurement area by emitting beams onto the target surface of the object. An arrangement of relative positions in a second direction of beams spots impinging on the target surface from the beams emitted by the first and second interferometers is different from an arrangement of relative positions in the second direction of beams spots impinging on the target surface from the beams emitted by the third and fourth interferometers.
    Type: Grant
    Filed: March 1, 2019
    Date of Patent: April 4, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Mathias Theodorus Antonius Adriaens, Carolus Johannes Catharina Schoormans, Thomas Voβ
  • Patent number: 11614572
    Abstract: A mirror for extreme ultraviolet light includes: a substrate (41); a multilayer film (42) provided on the substrate and configured to reflect extreme ultraviolet light; and a capping layer (53) provided on the multilayer film, and the capping layer includes a first layer (61) containing an oxide of a metal, and a second layer (62) arranged between the first layer and the multilayer film and containing at least one of a boride of the metal and a nitride of the metal.
    Type: Grant
    Filed: March 10, 2020
    Date of Patent: March 28, 2023
    Assignee: Gigaphoton Inc.
    Inventors: Osamu Wakabayashi, Yoshiyuki Honda
  • Patent number: 11609504
    Abstract: A difficulty of contamination interfering with a grid plate positional measurement system is addressed. In one embodiment contamination is prevented from coming into contact with the grating or the sensor. In an embodiment, surface acoustic waves are used to detach contamination from a surface of the grating or sensor.
    Type: Grant
    Filed: December 11, 2020
    Date of Patent: March 21, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Johannes Catharinus Hubertus Mulkens, Nicolaas Ten Kate
  • Patent number: 11605478
    Abstract: A method of making a mirror for use with extreme ultraviolet or x-ray radiation includes: i) providing a base substrate having a curved surface, wherein the curved surface deviates from a curvature of a target mirror surface at high spatial frequencies corresponding to spatial periods less than 2 mm; and ii) securing a first side of a thin plate to the curved surface of the base substrate to cover the curved surface, wherein the plate has a thickness thin enough to conform to the curvature of the target mirror surface and thick enough to attenuate deviations at the high spatial frequencies on a second side of the thin plate opposite the first side that are caused by the deviations on the curved surface of the base substrate. A mirror made by the method is also disclosed.
    Type: Grant
    Filed: November 4, 2020
    Date of Patent: March 14, 2023
    Assignee: Zygo Corporation
    Inventor: John Matthew Kincade
  • Patent number: 11591033
    Abstract: An apparatus including a focused light beam receptor apparatus configured to be positioned proximate a first end of a vehicle, a focused light beam generator; and wherein the focused light beam receptor apparatus includes a focused light beam receiving surface for receiving a focused light beam from the focused light beam generator to provide alignment of the focused light beam receptor relative to a centerline of the vehicle. A method of aligning a focused light beam receptor, focused light beam generator and a movable alignment stand relative to a centerline of a vehicle is also provided.
    Type: Grant
    Filed: February 22, 2019
    Date of Patent: February 28, 2023
    Assignee: Snap-on Incorporated
    Inventors: Craig F. Govekar, Edward P. Cahill, Derrick R. Steel
  • Patent number: 11586107
    Abstract: A phase shift mask suitable for forming a via pattern on a transferred object is provided. The phase shift mask has a first pattern region and a second pattern region. The phase shift mask includes a substrate and a phase shift pattern layer. The phase shift pattern layer is located on the substrate and is disposed corresponding to one of the first pattern region and the second pattern region. An optical phase difference corresponding to the first pattern region and the second pattern region is basically 180 degrees. The first pattern region has a via region away from the second pattern region. The second pattern region includes a plurality of strip patterns surrounding the via region.
    Type: Grant
    Filed: December 14, 2020
    Date of Patent: February 21, 2023
    Assignee: Powerchip Semiconductor Manufacturing Corporation
    Inventor: Yi-Kai Lai