Patents Examined by Evan Clinton
  • Patent number: 10276450
    Abstract: One aspect of the present disclosure is a method of fabricating metal gate by forming special layers in place of traditional TiN hard mask over the ILD0 layer to avoid ILD0 losses due to conventional ILD0 CMP. The method can comprise: after the ILD0 CMP, forming a first thin ashable film layer over the ILD0 layer; then forming a second thin dielectric layer over the first layer; during the aluminum CMP process for a first region (PMOS or NMOS), removing the second layer through polishing until the top surface of the first ashable film layer; and then removing first ashable film layer through an ashing method such as burning. In this way, ILD0 loss can be reduced during the first aluminum CMP step and thus can reduce initial height of ILD0, which in turn can reduce the height of initial dummy gate filled in the cavity.
    Type: Grant
    Filed: February 10, 2017
    Date of Patent: April 30, 2019
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventors: Tong Lei, Yongyue Chen, Haifeng Zhou
  • Patent number: 10236408
    Abstract: The yield of a manufacturing process of a semiconductor device is increased. The productivity of a semiconductor device is increased. A first material layer is formed over a substrate, a second material layer is formed over the first material layer, and the first material layer and the second material layer are separated from each other, so that a semiconductor device is manufactured. In addition, a stack including the first material layer and the second material layer is preferably heated before the separation. The first material layer includes one or more of hydrogen, oxygen, and water. The first material layer includes a metal oxide, for example. The second material layer includes a resin (e.g., polyimide or acrylic). The first material layer and the second material layer are separated from each other by cutting a hydrogen bond.
    Type: Grant
    Filed: August 28, 2017
    Date of Patent: March 19, 2019
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Masataka Sato, Naoki Ikezawa, Junpei Yanaka, Satoru Idojiri
  • Patent number: 10236185
    Abstract: A method of forming patterns for a semiconductor device includes preparing a hardmask composition including a carbon allotrope, a spin-on hardmask (SOH) material, an aromatic ring-containing polymer, and a solvent, applying the hardmask composition to an etching target layer, forming a hardmask by heat-treating the applied hardmask composition, forming a photoresist pattern on the hardmask, forming a hardmask pattern by etching the hardmask using the photoresist pattern as an etching mask, and forming an etched pattern by etching the etching target layer using the hardmask pattern as an etching mask.
    Type: Grant
    Filed: August 25, 2017
    Date of Patent: March 19, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yool Kang, Kyoung-sil Park, Yun-seok Choi, Boo-deuk Kim, Ye-hwan Kim
  • Patent number: 10217643
    Abstract: A method of processing a target object is provided. The target object includes a first protrusion portion, a second protrusion portion, an etching target layer and a groove portion. The groove portion is provided on a main surface of the target object, provided on the etching target layer and defined by the first and the second protrusion portions. An inner surface of the groove portion is included in the main surface. In the method, a first sequence is repeatedly performed N times (N is an integer equal to or larger than 2). The first sequence includes (a) forming a protection film conformally on the main surface in a processing vessel of a plasma processing apparatus in which the target object is accommodated; and (b) etching a bottom portion of the groove portion with plasma of a gas generated within the processing vessel after the process a is performed.
    Type: Grant
    Filed: August 25, 2017
    Date of Patent: February 26, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Tabata, Yoshihide Kihara
  • Patent number: 10204784
    Abstract: One illustrative method disclosed herein includes, among other things, forming an initial patterned etch mask above a feature-formation etch mask, the initial patterned etch mask including a plurality of laterally spaced-apart features having a non-uniform spacing, and performing at least one first etching process to remove an entire axial length of at least one of the plurality of features so as to thereby form a modified final patterned etch mask comprised of a plurality of features with a uniform spacing that defines a feature-formation pattern. In this example, the method also includes performing at least one second etching process so as to form a patterned feature-formation etch mask comprising the feature-formation pattern and performing at least one third etching process so as to form a plurality of features in a first layer, the features being formed with the feature-formation pattern.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: February 12, 2019
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Jinsheng Gao, Hui Zang, Haigou Huang
  • Patent number: 10176984
    Abstract: Methods and apparatuses for selectively depositing silicon oxide on a silicon oxide surface relative to a silicon nitride surface are described herein. Methods involve pre-treating a substrate surface using ammonia and/or nitrogen plasma and selectively depositing silicon oxide on a silicon oxide surface using alternating pulses of an aminosilane silicon precursor and an oxidizing agent in a thermal atomic layer deposition reaction without depositing silicon oxide on an exposed silicon nitride surface.
    Type: Grant
    Filed: February 14, 2017
    Date of Patent: January 8, 2019
    Assignee: Lam Research Corporation
    Inventors: David Charles Smith, Dennis M. Hausmann
  • Patent number: 10177227
    Abstract: The present disclosure provides methods for forming horizontal gate-all-around (hGAA) structure devices. In one example, a method includes selectively and laterally etching a first group of sidewalls of a first layer in a multi-material layer, wherein the multi-material layer comprises repeating pairs of the first layer and a second layer, the first and the second layers having the first group and a second group of sidewalls respectively, the first group of sidewalls from the first layer exposed through openings defined in the multi-material layer and a group of inner spacers formed atop of the second group of sidewalls from the second layer, forming a recess from the first group of sidewalls of the first layer and defining a vertical wall inward from an outer vertical surface of the inner spacer formed atop of the second layers, and forming an epi-silicon layer from the recess of the first layer.
    Type: Grant
    Filed: August 28, 2017
    Date of Patent: January 8, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Naomi Yoshida, Lin Dong, Shiyu Sun, Myungsun Kim, Nam Sung Kim, Dimitri Kioussis, Mikhail Korolik, Gaetano Santoro, Vanessa Pena
  • Patent number: 10170573
    Abstract: A semiconductor device includes a substrate, a metal gate on the substrate, and a first inter-layer dielectric (ILD) layer around the metal gate. A top surface of the metal gate is lower than a top surface of the ILD layer thereby forming a recessed region atop the metal gate. A mask layer is disposed in the recessed region. A void is formed in the mask layer within the recessed region. A second ILD layer is disposed on the mask layer and the first ILD layer. A contact hole extends into the second ILD layer and the mask layer. The contact hole exposes the top surface of the metal gate and communicates with the void. A conductive layer is disposed in the contact hole and the void.
    Type: Grant
    Filed: October 12, 2017
    Date of Patent: January 1, 2019
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chun-Ting Chiang, Jie-Ning Yang, Chi-Ju Lee, Chih-Wei Lin, Bo-Yu Su, Yen-Liang Wu, I-Fan Chang, Jui-Ming Yang, Wen-Tsung Chang
  • Patent number: 10170606
    Abstract: A semiconductor device includes a semiconductor layer having a first principal surface on one side thereof and a second principal surface on the other side thereof, a channel region of a first conductivity type formed at a surface layer portion of the first principal surface of the semiconductor layer, an emitter region of a second conductivity type formed at a surface layer portion of the channel region in the semiconductor layer, a drift region of the second conductivity type formed in a region of the second principal surface side with respect to the channel region in the semiconductor layer so as to be electrically connected to the channel region, a collector region of the first conductivity type formed at a surface layer portion of the second principal surface of the semiconductor layer so as to be electrically connected to the drift region, a cathode region of the second conductivity type formed at a surface layer portion of the second principal surface of the semiconductor layer so as to be electrically
    Type: Grant
    Filed: July 3, 2017
    Date of Patent: January 1, 2019
    Assignee: ROHM CO., LTD.
    Inventor: Kohei Shinsho
  • Patent number: 10170369
    Abstract: A semiconductor device includes a substrate having a fin structure extending along a first direction. The fin structure protrudes from a top surface of a trench isolation region and has a first height. A plurality of gate lines including a first gate line and a second gate line extend along a second direction and striding across the fin structure. The first gate line has a discontinuity directly above a gate cut region. The second gate line is disposed in proximity to a dummy fin region, and does not overlap with the dummy fin region. The fin structure has a second height within the dummy fin region, and the second height is smaller than the first height.
    Type: Grant
    Filed: November 7, 2017
    Date of Patent: January 1, 2019
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Hsiao-Lin Hsu, En-Chiuan Liou
  • Patent number: 10170698
    Abstract: A method of forming a pillar includes masking a photoresist material using a reticle and a developer having a polarity opposite that of the photoresist to provide an island of photoresist material. A layer under the island of photoresist material is etched to establish a pillar defined by the island of photoresist material.
    Type: Grant
    Filed: May 9, 2017
    Date of Patent: January 1, 2019
    Inventors: Anthony J. Annunziata, Armand A. Galan, Steve Holmes, Eric A. Joseph, Gen P. Lauer, Qinghuang Lin, Nathan P. Marchack
  • Patent number: 10163699
    Abstract: A method of forming, on a substrate having on a surface thereof a film having a trench of a preset pattern and a via at a bottom of the trench, a Cu wiring by burying Cu or Cu alloy in the trench and the via includes forming a barrier film (process 2); forming, on a surface of the barrier film, a wetting target layer of Ru or the like (process 3); forming, on a surface of the wetting target layer, a Cu-based seed film by PVD (process 4); filling the via by heating the substrate and flowing the Cu-based seed film into the via (process 5); and forming, on the substrate surface, a Cu-based film made of the Cu or Cu alloy by PVD under a condition where the Cu-based film is flown on the wetting target layer to bury the Cu-based film in the trench (process 6).
    Type: Grant
    Filed: January 7, 2016
    Date of Patent: December 25, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroyuki Nagai, Peng Chang, Kenji Matsumoto
  • Patent number: 10163807
    Abstract: A method includes forming an alignment pattern over an insulating layer formed over a carrier. A die is mounted over the carrier and encapsulated. Connectors are formed and the structure is attached to a debond tape. The carrier is removed. A cutting device is aligned to a backside of the insulating layer using the alignment pattern. The first insulating layer and encapsulant are cut from the backside of the insulating layer. Another method includes scanning a backside of a packages structure for an alignment pattern in a first package area of the packages structure. A cutting device is aligned to a cut-line in a non-package area of the packages structure based on the alignment pattern and packages are singulated. An InFO package includes an insulating layer on the backside, the insulating layer having a laser marking thereon. The InFO package also includes an alignment pattern proximate to the insulating layer.
    Type: Grant
    Filed: July 3, 2017
    Date of Patent: December 25, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ying-Ju Chen, Der-Chyang Yeh, Hsien-Wei Chen, Shih-Peng Tai
  • Patent number: 10157871
    Abstract: An integrated fan-out package includes a die, an encapsulant, a redistribution structure, a plurality of conductive pillars, a seed layer, and a plurality of conductive bumps. The encapsulant encapsulates the die. The redistribution structure is over the die and the encapsulant. The redistribution structure is electrically connected to the die and includes a plurality of dielectric layers that are sequentially stacked and a plurality of conductive patterns sandwiched between the dielectric layers. A Young's modulus of the dielectric layer farthest away from the die is higher than a Young's modulus of each of the rest of the dielectric layers. The conductive patterns are electrically connected to each other. The conductive pillars are disposed on and electrically connected to the redistribution structure. The seed layer is located between the conductive pillars and the redistribution structure. The conductive bumps are disposed on the plurality of conductive pillars.
    Type: Grant
    Filed: October 12, 2017
    Date of Patent: December 18, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Yang Yu, Chin-Liang Chen, Hai-Ming Chen, Kuan-Lin Ho, Yu-Min Liang
  • Patent number: 10153268
    Abstract: Glass substrates comprising an A-side upon which silicon thin film transistor devices can be fabricated and a B-side having a substantially homogeneous organic film thereon are described. The organic film includes a moiety that reduces voltage generation by contact electrification or triboelectrification. Methods of manufacturing the glass substrates and example devices incorporating the glass substrates are also described.
    Type: Grant
    Filed: August 7, 2015
    Date of Patent: December 11, 2018
    Assignee: Corning Incorporated
    Inventors: James Patrick Hamilton, Robert George Manley, Jonathan Michael Mis, Wanda Janina Walczak
  • Patent number: 10153357
    Abstract: A method for manufacturing a super junction power MOSFET includes forming a first trench in a substrate, forming a first oxide layer over the substrate and in the bottom and along sidewalls of the trench, depositing electrically conductive material in the trench, masking a first portion of the electrically conductive material, forming a recessed portion of the electrically conductive material, forming an oxide portion over and in contact with the recessed portion of the electrically conductive material, removing a part of the oxide portion by masking, removing the first oxide layer on the sidewalls while another part of the oxide portion remains in contact with the recessed portion of the electrically conductive material, forming a gate dielectric along exposed sidewalls of the trench, and depositing additional electrically conductive material over the other part of the oxide portion in the trench.
    Type: Grant
    Filed: August 28, 2017
    Date of Patent: December 11, 2018
    Assignee: NXP USA, Inc.
    Inventors: Ganming Qin, Vishnu Khemka, Tanuj Saxena, Moaniss Zitouni, Raghuveer Vankayala Gupta, Mark Edward Gibson
  • Patent number: 10153156
    Abstract: According to one example, a process includes performing a first plurality of layer deposition cycles of a deposition process on a substrate, and after performing the first plurality of layer deposition cycles, performing a plasma enhanced layer deposition cycle comprising a plasma treatment process. The first plurality of layer deposition cycles are performed without a plasma treatment process.
    Type: Grant
    Filed: February 15, 2017
    Date of Patent: December 11, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun Hsiung Tsai, Kuo-Feng Yu, Yuh-Ta Fan
  • Patent number: 10147625
    Abstract: A gas floated workpiece supporting apparatus includes a gas upward ejector ejecting gas upward, and a gas downward ejector located at an upper side from the gas upward ejector and ejecting gas downward. The gas downward ejector is installed at a position where the gas downward ejector ejects the gas downward from above a plate-shaped workpiece to apply pressure to the plate-shaped workpiece that is floated and supported by the gas ejected from the gas upward ejector, whereby a uniform floating amount supports the plate-shaped workpiece with high flatness at a time of floating and supporting the plate-shaped workpiece.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: December 4, 2018
    Assignee: THE JAPAN STEEL WORKS, LTD.
    Inventors: Yuki Suzuki, Sadao Tanigawa
  • Patent number: 10141500
    Abstract: A magnetoelectric converting element includes a substrate, a magnetosensitive layer, a first insulating layer, an underlying conductive layer, a second insulating layer, and a terminal conductor. The magnetosensitive layer is formed on the substrate. The first insulating layer is formed with first opening for exposing a part of the magnetosensitive layer. The underlying conductive layer is formed on the exposed part of the magnetosensitive layer. The second insulating layer is formed with a second opening for exposing a part of the underlying conductive layer. The terminal conductor is formed on the exposed part of the underlying conductive layer. The second opening is arranged to be located inside the first opening in plan view.
    Type: Grant
    Filed: July 3, 2017
    Date of Patent: November 27, 2018
    Assignee: ROHM CO., LTD.
    Inventors: Isamu Nishimura, Michihiko Mifuji, Satoshi Nakagawa
  • Patent number: 10141504
    Abstract: Subject matter disclosed herein may relate to fabrication of correlated electron materials used, for example, to perform specified application performance parameters. In embodiments, CEM devices fabricated at a first stage of a wafer fabrication process, such as a front-end-of-line stage, may differ from CEM devices fabricated at a second stage of a wafer fabrication process, such as a middle-of-line stage or a back-end-of-line stage, for example.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: November 27, 2018
    Assignee: ARM Ltd.
    Inventors: Lucian Shifren, Kimberly Gay Reid, Greg Munson Yeric, Manuj Rathor, Glen Arnold Rosendale