Patents Examined by Ram N Kackar
  • Patent number: 11948780
    Abstract: Embodiments of the present disclosure relate to a system for pulsed direct-current (DC) biasing and clamping a substrate. In one embodiment, the system includes a plasma chamber having an electrostatic chuck (ESC) for supporting a substrate. An electrode is embedded in the ESC and is electrically coupled to a biasing and clamping network. The biasing and clamping network includes at least a shaped DC pulse voltage source and a clamping network. The clamping network includes a DC source and a diode, and a resistor. The shaped DC pulse voltage source and the clamping network are connected in parallel. The biasing and clamping network automatically maintains a substantially constant clamping voltage, which is a voltage drop across the electrode and the substrate when the substrate is biased with pulsed DC voltage, leading to improved clamping of the substrate.
    Type: Grant
    Filed: May 12, 2021
    Date of Patent: April 2, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Linying Cui, James Rogers, Leonid Dorf
  • Patent number: 11935731
    Abstract: A measurement part controls power supplied to a heater such that a temperature of the heater becomes constant by using a heater controller, and measures the supplied power in an unignited state in which plasma is not ignited and a transient state in which the power supplied to the heater decreases after plasma is ignited. A parameter calculator performs fitting on a calculation model, which includes a heat input amount from the plasma as a parameter, for calculating the power supplied in the transient state by using the power supplied in the unignited state and the transient state and measured by the measurement part, and calculates the heat input amount. An output part configured to output information based on the heat input amount calculated by the parameter calculator.
    Type: Grant
    Filed: June 17, 2019
    Date of Patent: March 19, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Hayashi, Yoshihiro Umezawa, Shinsuke Oka
  • Patent number: 11923176
    Abstract: This disclosure provides a reactor system that includes a microwave source configured to generate a microwave energy, one or more energy sources configured to generate a thermal energy and a field-enhancing waveguide (FEWG) coupled to the microwave source. The FEWG includes a field-enhancing zone having a cross-sectional area that decreases along a length of the FEWG. The field-enhancing zone includes a supply gas inlet configured to receive a supply gas, a reaction zone configured to generate a plasma in response to excitation of the supply gas by the microwave energy, a process inlet configured to inject a raw material into the reaction zone, and an afterglow region configured to combine the plasma and the raw material in response to the thermal energy. An outlet outputs a plurality of carbon-inclusive particles resulting from the combination of the plasma and the raw material. Electrodes can be positioned proximate to the reaction zone.
    Type: Grant
    Filed: August 31, 2020
    Date of Patent: March 5, 2024
    Assignee: Lyten, Inc.
    Inventors: Michael W. Stowell, Bryce H. Anzelmo, Thomas Riso
  • Patent number: 11898248
    Abstract: A coating apparatus includes a chamber body having a reaction chamber, a supporting rack, a monomer discharge source and a plasma generation source. The supporting rack has a supporting area for supporting the substrate. The monomer discharge source has a discharge inlet for introducing a coating forming material into the reaction chamber. The plasma generation source is arranged for exciting the coating forming material, wherein the supporting area of the supporting rack is located at a position between the monomer discharge source and the plasma generation source, so that the coating is evenly formed on the surface of the substrate, and the deposition velocity is increased.
    Type: Grant
    Filed: March 10, 2020
    Date of Patent: February 13, 2024
    Assignee: JIANGSU FAVORED NANOTECHNOLOGY CO., LTD.
    Inventor: Jian Zong
  • Patent number: 11894218
    Abstract: There is provision of an electrostatic chuck for supporting a substrate and an edge ring including a first region, a second region, an electrode provided in the second region, and an elastic member. The first region includes a first top surface and is configured to hold the substrate that is placed on the first top surface. The second region extends in a circumferential direction of the first region so as to surround the first region. The second region includes a second top surface, and is configured to support the edge ring placed on the second top surface. The first top surface and the second top surface extend along a single flat plane. A part of the edge ring is accommodated in a space provided between the first region and the second region, and the elastic member is disposed between the part of the edge ring and the electrostatic chuck.
    Type: Grant
    Filed: June 3, 2020
    Date of Patent: February 6, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Masato Takayama, Yasuharu Sasaki
  • Patent number: 11887811
    Abstract: Exemplary semiconductor substrate supports may include a pedestal having a shaft and a platen. The semiconductor substrate supports may include a cover plate. The cover plate may be coupled with the platen along a first surface of the cover plate. The cover plate may define a recessed channel in a second surface of the cover plate opposite the first surface. The semiconductor substrate supports may include a puck coupled with the second surface of the cover plate. The puck may incorporate an electrode. The puck may define a plurality of apertures extending vertically through the puck to fluidly access the recessed channel defined in the cover plate.
    Type: Grant
    Filed: September 8, 2020
    Date of Patent: January 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Khokan Chandra Paul, Ravikumar Patil
  • Patent number: 11887821
    Abstract: An edge ring includes a first member made of a first material and having a contact surface with plasma generated inside the processing container, and a second member made of a second material having Young's modulus lower than that of the first material. The second member is provided on a side opposite to the contact surface of the first member such that a combined structure of the first member and the second member surrounds a periphery of a substrate placed on a stage inside a processing container of a plasma processing apparatus.
    Type: Grant
    Filed: August 21, 2020
    Date of Patent: January 30, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Masato Kon
  • Patent number: 11881382
    Abstract: Provided is an apparatus for treating a substrate. The substrate treating apparatus includes a substrate supporting unit for supporting the substrate and fixing the substrate with electrostatic force, a plasma generating unit for generating a discharging plasma for discharging a charge of the substrate, and a power supplying unit for supplying power to the substrate supporting unit and the plasma generating unit, wherein the power supplying unit supplies power of a fluctuating pattern to the plasma generating unit when a charge of the substrate is discharged.
    Type: Grant
    Filed: July 1, 2020
    Date of Patent: January 23, 2024
    Assignee: Semes Co., Ltd.
    Inventors: Jun Pyo Hong, Seungbae Lee, Ki Yung Lee
  • Patent number: 11869749
    Abstract: The substrate processing apparatus includes a process chamber providing a space for processing a substrate, a chuck member provided in the process chamber and supporting the substrate, a ring member provided to surround the chuck member, an edge electrode disposed in the ring member to be electrically insulated from the chuck member, an edge impedance controller electrically connected to the edge electrode and controlling an electric potential of the edge electrode, and a coupling compensator connected between the chuck member and the edge electrode and provided to cancel or adjust coupling between the chuck member and the edge electrode.
    Type: Grant
    Filed: October 13, 2019
    Date of Patent: January 9, 2024
    Assignee: SEMES CO., LTD.
    Inventors: Shant Arakelyan, Ja Myung Gu, Jong Hwan An
  • Patent number: 11830747
    Abstract: The present disclosure provides a plasma reactor having a function of tuning low frequency RF power distribution, comprising: a reaction chamber in which an electrically conductive base is provided, the electrically conductive base being connected to a low frequency RF source via a first match, an electrostatic chuck being provided on the electrically conductive base, an upper surface of the electrostatic chuck being configured for fixing a to-be-processed substrate, an outer sidewall of the electrically conductive base being coated with at least one layer of plasma corrosion-resistance dielectric layer, a coupling ring made of a dielectric material surrounding an outer perimeter of the base, a focus ring being disposed above the coupling ring, the focus ring being arranged surround the electrostatic chuck and be exposed to a plasma during a plasma processing procedure; the plasma reactor further comprising an annular electrode that is disposed above the coupling ring but below the focus ring; a wire, a first
    Type: Grant
    Filed: December 20, 2018
    Date of Patent: November 28, 2023
    Assignee: ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA
    Inventors: Kui Zhao, Hiroshi Iizuka
  • Patent number: 11832373
    Abstract: A plasma processing apparatus includes an antenna configured to generate plasma of a processing gas in a chamber. The antenna includes: an inner coil provided around the gas supply unit to surround a gas supply unit; and an outer coil provided around the gas supply unit and the inner coil to surround them. The outer coil is configured such that both ends of a wire forming the outer coil are opened; power is supplied from a power supply unit to a central point of the wire; the vicinity of the central point of the wire is grounded; and the outer coil resonates at a wavelength that is a half of a wavelength of the high frequency power. The inner coil is configured such that both ends of a wire forming the inner coil are connected through a capacitor and the inner coil is inductively coupled with the inner coil.
    Type: Grant
    Filed: September 21, 2022
    Date of Patent: November 28, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Takehisa Saito, Mayo Uda, Keigo Toyoda, Alok Ranjan, Toshiki Nakajima
  • Patent number: 11804400
    Abstract: A substrate processing apparatus that includes a chamber, a substrate support disposed in the chamber, and a connection is provided. The chamber is provided with a bottom including a first flow path, and the substrate support includes a second flow path. The connection connects the first flow path to the second flow path, and the connection includes a sleeve through which the first flow path is in fluid communication with the second flow path, and a core including a first rod segment and a first elastic foam segment. The core is disposed in the sleeve, and a gap is defined between an inner surface of the sleeve and a side surface of the first rod segment.
    Type: Grant
    Filed: February 25, 2021
    Date of Patent: October 31, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Mitsuaki Sato
  • Patent number: 11802053
    Abstract: An apparatus for fabricating diamond by carbon assembly, which comprises: a) a hydrocarbon radical generator in operable connection with b) a mass flow conduit extending from the hydrocarbon radical generator in a) to an interface and into a primary magnetic accelerator containing one or more electromagnets in operable connection with c) a diamond fabrication reactor comprising a diamond forming deposition substrate. Also disclosed is a method for fabricating diamond by shockwaves using the disclosed apparatus.
    Type: Grant
    Filed: June 10, 2021
    Date of Patent: October 31, 2023
    Inventor: Daniel Hodes
  • Patent number: 11804366
    Abstract: A plasma processing apparatus includes a baffle structure between a mounting table and a processing chamber. The baffle structure has a first member and a second member. The first member has a first cylindrical part extending between the mounting table and the processing chamber, and a plurality of through-holes elongated in the vertical direction is formed in an array in the circumferential direction in the first cylindrical part. The second member has a second cylindrical part having an inner diameter greater than the outer diameter of the cylindrical part for the first member. The second member moves up and down in a region that includes the space between the first member and the processing chamber.
    Type: Grant
    Filed: August 13, 2021
    Date of Patent: October 31, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yuki Hosaka, Yoshihiro Umezawa, Toshiki Nakajima
  • Patent number: 11791137
    Abstract: A bevel etching apparatus includes a chuck plate that is configured to receive a substrate, a lower ring surrounding a circumference of the chuck plate, a cover plate on the chuck plate, and an upper ring surrounding a circumference of the cover plate. The lower ring includes a ring base and a protrusion that extends upwardly from an edge of the ring base and surrounds a lower portion of a sidewall of the substrate.
    Type: Grant
    Filed: April 22, 2020
    Date of Patent: October 17, 2023
    Inventors: Hakseung Lee, Ho-Jin Lee, Dong-Chan Lim, Jinnam Kim, Kwangjin Moon
  • Patent number: 11791126
    Abstract: An apparatus for directional processing is disclosed. The apparatus includes a workpiece support and an ion source, having a plurality of walls. An extraction aperture is disposed on at least one of the plurality of walls. In certain embodiments, the plurality of walls defines a hollow region. The hollow region is located above the portion of the workpiece that is being processed, allowing the etching byproducts can be evacuated without depositing on the ion source. The shape of the hollow region may be modified to further reduce the amount of deposition on the hollow ion source. Additionally, a pump may be disposed within or above the hollow region to facilitate the removal of the etching byproducts. In other embodiments, the extraction aperture of the ion source may be disposed at a corner of the plasma chamber.
    Type: Grant
    Filed: August 27, 2019
    Date of Patent: October 17, 2023
    Assignee: Applied Materials, Inc.
    Inventor: Alexandre Likhanskii
  • Patent number: 11784075
    Abstract: Methods and apparatus for supporting substrates are provided herein. In some embodiments, a substrate support for supporting a plurality of substrates includes: a plurality of substrate support elements having a ring shape configured to support a plurality of substrates in a vertically spaced apart relation; and a plurality of substrate lift elements interfacing with the plurality of substrate support elements and configured to simultaneously selectively raise or lower substrates off of or onto respective substrate support elements.
    Type: Grant
    Filed: April 12, 2021
    Date of Patent: October 10, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shashidhara Patel, Ananthkrishna Jupudi, Ribhu Gautam
  • Patent number: 11773489
    Abstract: The present disclosure relates to a gas confiner assembly designed to reduce the non-uniform deposition rates by confining the gas flow and changing the local gas flow distribution near the edge regions of the substrate. The material, size, shape and other features of the gas confiner assembly can be varied based on the processing requirements and associated deposition rates. In one embodiment, a gas confiner assembly for a processing chamber comprises a gas confiner configured to decrease gas flow and compensate for high deposition rates on edge regions of substrates. The gas confiner assembly also comprises a cover disposed below the gas confiner. The cover is configured to prevent a substrate support from being exposed to plasma.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: October 3, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Lai Zhao, Qunhua Wang, Robin L. Tiner, Soo Young Choi, Beom Soo Park
  • Patent number: 11776795
    Abstract: A plasma processing apparatus includes: a placement table serving as a lower electrode and configured to place thereon a workpiece to be subjected to a plasma processing; a DC power supply configured to alternately generate a positive DC voltage and a negative DC voltage to be applied to the placement table; and a controller configured to control an overall operation of the plasma processing apparatus. The controller is configured to: measure a voltage of the workpiece placed on the placement table; calculate, based on the measured voltage of the workpiece, a potential difference between the placement table and the workpiece in a period during which the negative DC voltage is applied to the placement table; and control the DC power supply such that a value of the negative DC voltage applied to the placement table is shifted by a shift amount that decreases the calculated potential difference.
    Type: Grant
    Filed: April 24, 2019
    Date of Patent: October 3, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Koichi Nagami, Tatsuro Ohshita
  • Patent number: 11764038
    Abstract: A plasma processing apparatus includes a processing chamber that performs a plasma processing using plasma; a placing table provided in the processing chamber and including a substrate placing portion and a focus ring placing portion, the focus ring placing portion surrounding the substrate placing portion; a focus ring disposed on the focus ring placing portion; a first electrode and a second electrode both disposed inside the focus ring placing portion; a DC power source configured to apply a first DC voltage to the first electrode and apply a second DC voltage to the second electrode; and a controller configured to control the DC power source such that respective polarities of the first DC voltage and the second DC voltage are independently and periodically switched.
    Type: Grant
    Filed: November 19, 2020
    Date of Patent: September 19, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shoichiro Matsuyama, Naoki Tamaru, Yasuharu Sasaki