Patents Examined by Steven Whitesell Gordon
  • Patent number: 11822255
    Abstract: A method including obtaining (i) measurements of a parameter of the feature, (ii) data related to a process variable of a patterning process, (iii) a functional behavior of the parameter defined as a function of the process variable based on the measurements of the parameter and the data related to the process variable, (iv) measurements of a failure rate of the feature, and (v) a probability density function of the process variable for a setting of the process variable, converting the probability density function of the process variable to a probability density function of the parameter based on a conversion function, where the conversion function is determined based on the function of the process variable, and determining a parameter limit of the parameter based on the probability density function of the parameter and the measurements of the failure rate.
    Type: Grant
    Filed: July 30, 2021
    Date of Patent: November 21, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Abraham Slachter, Stefan Hunsche, Wim Tjibbo Tel, Anton Bernhard Van Oosten, Koenraad Van Ingen Schenau, Gijsbert Rispens, Brennan Peterson
  • Patent number: 11809087
    Abstract: Some implementations described herein provide an exposure tool and associated methods of operation in which a scanner control system generates a scanner route for an exposure recipe such that the distance traveled by a substrate stage of the exposure tool along the scanner route is reduced and/or optimized for non-exposure fields on a semiconductor substrate. In this way, the scanner control system increases the productivity of the exposure tool, reduces processing times of the exposure tool, and increases yield in a semiconductor fabrication facility in which the exposure tool is included.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: November 7, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kai-Chieh Chang, Kai-Fa Ho, Li-Jui Chen, Heng-Hsin Liu
  • Patent number: 11789369
    Abstract: An immersion lithographic apparatus is disclosed in which at least a part of the liquid supply system (which provides liquid between the projection system and the substrate) is moveable in a plane substantially parallel to a top surface of the substrate during scanning. The part is moved to reduce the relative velocity between that part and the substrate so that the speed at which the substrate may be moved relative to the projection system may be increased.
    Type: Grant
    Filed: December 23, 2021
    Date of Patent: October 17, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Martinus Hendrikus Antonius Leenders, Nicolaas Rudolf Kemper, Joost Jeroen Ottens
  • Patent number: 11782350
    Abstract: A lithography system includes a table body, a wafer stage, a first sliding member, a second sliding member, a first cable, a first bracket, a rail guide, and a first protective film. The first sliding member is coupled to the wafer stage. The second sliding member is coupled to an edge of the table body, in which the first sliding member is coupled to a track of the second sliding member. The first bracket fixes the first cable, the first bracket being coupled to a roller structure, in which the roller structure includes a body and a wheel coupled to the body. The rail guide confines a movement of the wheel of the roller structure. The first protective film is adhered to a surface of the rail guide, in which the roller structure is moveable along the first protective film on the surface of the rail guide.
    Type: Grant
    Filed: August 9, 2022
    Date of Patent: October 10, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shao-Hua Wang, Chueh-Chi Kuo, Kuei-Lin Ho, Zong-You Yang, Cheng-Wei Sun, Wei-Yuan Chen, Cheng-Chieh Chen, Heng-Hsin Liu, Li-Jui Chen
  • Patent number: 11762303
    Abstract: The present application provides a method for improving overlay metrology accuracy of self-aligned multiple patterning, overlay metrology pattern comprising a front layer pattern and a current layer pattern, the front layer pattern comprising a plurality of first grating structures overlaid on the periphery of the current layer pattern, the first grating structure being composed of a plurality of repeatedly arranged strip elements; segmenting the strip element in the first grating structure, so that each of the strip elements forms a sub-grating structure comprising a plurality of repeatedly arranged strip structures; forming a plurality of repeatedly arranged core structures corresponding to the plurality of repeatedly arranged strip structures; form a gate structure comprising a plurality of repeatedly arranged fin structures; removing two outermost fin structures of the gate structure; the gate structure and the current layer pattern structure together forming an overlay metrology structure.
    Type: Grant
    Filed: August 15, 2022
    Date of Patent: September 19, 2023
    Assignee: Shanghai Huali Integrated Circuit Corporation
    Inventors: Yuyang Bian, Cong Zhang
  • Patent number: 11749556
    Abstract: A substrate holder for use in a lithographic apparatus and configured to support a substrate, the substrate holder having a main body having a main body surface, a plurality of main burls projecting from the main body surface, wherein each main burl has a distal end surface configured to support the substrate, a first seal member projecting from the main body surface and having an upper surface, the first seal member surrounding the plurality of main burls and configured to restrict the passage of liquid between the substrate and the main body surface radially inward past the first seal member, and a plurality of minor burls projecting from the upper surface of the first seal member, wherein each minor burl has a distal end surface configured to support the substrate.
    Type: Grant
    Filed: September 22, 2021
    Date of Patent: September 5, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Niek Jacobus Johannes Roset, Marcus Martinus Petrus Adrianus Vermeulen, Simon Karel Ravensbergen, Mark Constant Johannes Baggen, Gijs Kramer, Roger Anton Marie Timmermans, Frank Pieter Albert Van Den Berkmortel
  • Patent number: 11720034
    Abstract: A lithographic apparatus arranged to project a pattern from a patterning device onto a substrate, comprising at least one housing comprising at least one internal wall, at least one optical component arranged within at least one chamber defined at least in part by the at least one internal wall and configured to receive a radiation beam and a cooling apparatus arranged to cool at least a portion of the at least one internal wall to a temperature below that of the at least one optical component.
    Type: Grant
    Filed: January 31, 2018
    Date of Patent: August 8, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Gosse Charles De Vries, Nicolaas Ten Kate
  • Patent number: 11711883
    Abstract: A droplet accelerating assembly includes an acceleration chamber extending in a first direction parallel to an ejection direction of the droplet, the acceleration chamber having a first side connected to the droplet generator, a second side opposite the first side in the first direction, the second side including a discharge hole, and a fluid flow path, a pressure controller connected to the fluid flow path of the acceleration chamber, the pressure controller being configured to adjust an internal pressure of the acceleration chamber, an electrifier in the acceleration chamber, the electrifier being configured to electrify the droplet ejected by the droplet generator into an electrified droplet, and an accelerator in the acceleration chamber, the accelerator being configured to accelerate the electrified droplet.
    Type: Grant
    Filed: August 4, 2021
    Date of Patent: July 25, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Minseok Choi, Injae Lee, Inho Choi, Sungyeol Kim, Sunghyup Kim, Jeonggil Kim, Jongbin Park
  • Patent number: 11703770
    Abstract: An arrangement of a microlithographic optical imaging device includes first and supporting structures. The first supporting structure supports an optical element of the imaging device. The first supporting structure supports the second supporting structure via supporting spring devices of a vibration decoupling device. The supporting spring devices act kinematically parallel to one another between the first and second supporting structures. Each supporting spring device defines a supporting force direction and a supporting length along the supporting force direction. The second supporting structure supports a measuring device configured to measure the position and/or orientation of the optical element in relation to a reference in at least one degree of freedom and up to all six degrees of freedom in space. A creep compensation device compensates a change in a static relative situation between the first and second supporting structures in at least one correction degree of freedom.
    Type: Grant
    Filed: June 22, 2021
    Date of Patent: July 18, 2023
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Eylem Bektas Knauf, Ulrich Schoenhoff, Marwène Nefzi, Ralf Zweering, Konrad Carl Steimer, Yim-Bun Patrick Kwan
  • Patent number: 11698589
    Abstract: To uniformize the light intensity distribution on an irradiated surface in a light source device including a light-emitting diode (LED) array, a light source device includes a light-emitting diode (LED) array including a circuit having a substrate, a plurality of LED chips on the substrate, and a power supply. A predetermined plane is illuminated with light from the LED array. The plurality of LED chips includes first LED chips and second LED chips different from the first LED chips placed in a same column of the circuit, and the first LED chips have a placement angle different from a placement angle of the second LED chips.
    Type: Grant
    Filed: September 24, 2020
    Date of Patent: July 11, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Noboru Osaka
  • Patent number: 11687012
    Abstract: A method of cleaning a surface of a reticle includes retrieving a reticle from a reticle library and transferring the reticle to a first exposure device. The surface of the reticle is cleaned in the first exposure device by irradiating the surface of the reticle with an extreme ultraviolet (EUV) radiation for a predetermined irradiation time. After the cleaning, the reticle is transferred to a second exposure device for lithography operation.
    Type: Grant
    Filed: June 25, 2021
    Date of Patent: June 27, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Hung Liao, Po-Ming Shih
  • Patent number: 11687008
    Abstract: According to an embodiment, a method for automated critical dimension measurement on a substrate for display manufacturing is provided. The method includes scanning a first field of view having a first size with a charged particle beam to obtain a first image having a first resolution of a first portion of the substrate for display manufacturing; determining a pattern within the first image, the pattern having a first position; scanning a second field of view with the charged particle beam to obtain a second image of a second portion of the substrate, the second field of view has a second size smaller than the first size and has a second position provided relative to the first position, the second image has a second resolution higher than the first resolution; and determining a critical dimension of a structure provided on the substrate from the second image.
    Type: Grant
    Filed: February 22, 2018
    Date of Patent: June 27, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bernhard G. Mueller, Robert Trauner, Bernhard Schüler, Peter C. Staffansson, Kulpreet Singh Virdi, Volker Daiker
  • Patent number: 11681234
    Abstract: A method includes transporting a cleaning mask and a photomask into an enclosure of a lithography exposure apparatus, wherein the photomask includes a multilayered mirror structure, and the cleaning mask is free of the multilayered mirror structure; placing the cleaning mask on a reticle stage of the lithography exposure apparatus; and charging the cleaning mask to attract charged particles in the enclosure.
    Type: Grant
    Filed: May 27, 2021
    Date of Patent: June 20, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-An Chen, Li-Jui Chen, Heng-Hsin Liu, Tzung-Chi Fu, Han-Lung Chang
  • Patent number: 11681237
    Abstract: The present invention provides a lithography apparatus for performing a process of transferring a pattern of an original to each of shot regions two-dimensionally arrayed on a substrate, including a stage that moves while holding one of the substrate and the original, a measurement unit configured to measure, when performing the process, a positional shift amount between a mark provided on the original and a mark provided in each of the shot regions, and a control unit configured to control the process for the shot region so that after the process is performed successively for a plurality of first shot regions included in a first row, the process is performed successively for a plurality of second shot regions included in a second row adjacent to the first row.
    Type: Grant
    Filed: August 25, 2021
    Date of Patent: June 20, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Naosuke Nishimura
  • Patent number: 11681228
    Abstract: A method for the exposure of image points of a photosensitive layer comprising a photosensitive material on a substrate by means of an optical system. The method including continuously moving the image points with respect to the optical system; and controlling a plurality of secondary beams by means of the optical system individually for individual exposures of each image point, whereby the secondary beams are put either into an ON state or into an OFF state, wherein a) secondary beams in the ON state produce an individual exposure of the image point assigned to the respective secondary beam and b) secondary beams in the OFF state do not produce any individual exposure of the image point assigned to the respective secondary beam; wherein, for the generation of image points with grey tones n>1, individual exposures are carried out by different secondary beams with individual doses D.
    Type: Grant
    Filed: June 19, 2018
    Date of Patent: June 20, 2023
    Assignee: EV Group E. Thallner GmbH
    Inventors: Bernhard Thallner, Boris Povazay
  • Patent number: 11650513
    Abstract: An apparatus for measuring a position of a mark on a substrate, the apparatus comprising: an illumination system configured to condition at least one radiation beam to form a plurality of illumination spots spatially distributed in series such that during scanning of the substrate the plurality of illumination spots are incident on the mark sequentially, and a projection system configured to project radiation diffracted by the mark from the substrate, the diffracted radiation being produced by diffraction of the plurality of illumination spots by the mark; wherein the projection system is further configured to modulate the diffracted radiation and project the modulated radiation onto a detecting system configured to produce signals corresponding to each of the plurality of illumination spots, the signals being combined to determine the position of the mark.
    Type: Grant
    Filed: September 5, 2019
    Date of Patent: May 16, 2023
    Assignee: ASML Netherlands B.V.
    Inventor: Sebastianus Adrianus Goorden
  • Patent number: 11640117
    Abstract: A misregistration measurement and region of interest selection system (MMRSS) for measuring misregistration between at least two layers on a wafer in the manufacture of semiconductor devices, the MMRSS including a set of misregistration metrology tools, including at least two misregistration metrology tools, and a misregistration analysis and region of interest selection engine operative to: analyze a plurality of misregistration measurement data sets associated with a set of regions of interest (ROIs) of at least one measurement site on the wafer and at least partially generated by at least one first misregistration metrology tool, and wherein each of the data sets is associated with a set of quality metrics, identify a recommended ROI and communicate the recommended ROI to at least one second misregistration metrology tool of the set of misregistration metrology tools, the second misregistration metrology tool being operative to generate misregistration metrology data associated with the recommended ROI.
    Type: Grant
    Filed: May 20, 2020
    Date of Patent: May 2, 2023
    Assignee: KLA CORPORATION
    Inventors: Roie Volkovich, Moran Zaberchik
  • Patent number: 11635697
    Abstract: A semiconductor device manufacturing system includes a photolithography apparatus that performs exposure. On a semiconductor substrate including a chip area and a scribe lane area. An etching apparatus etches the exposed semiconductor substrate. An observing apparatus images the etched semiconductor substrate. A controller controls the photolithography apparatus and the etching apparatus. The controller generates a first mask pattern and provides the first mask pattern to the photolithography apparatus. The photolithography apparatus performs exposure on the semiconductor substrate using the first mask pattern. The etching apparatus performs etching on the exposed semiconductor substrate to provide an etched semiconductor substrate. The observing apparatus generates a first semiconductor substrate image by imaging the etched semiconductor substrate corresponding to the scribe lane area.
    Type: Grant
    Filed: April 20, 2021
    Date of Patent: April 25, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Soon Hwan Cha, Chan Hwang, Woo Jin Jung
  • Patent number: 11630396
    Abstract: A method for calibrating a process model of a patterning process. The method includes identifying a portion of the substrate that has values within a tolerance band of one or more parameters (e.g., CD, EPE, etc.) of the patterning process, obtaining, via a metrology tool, metrology data corresponding to the portion of the substrate, processing the metrology data, and calibrating a process model based on the processed metrology data.
    Type: Grant
    Filed: July 24, 2019
    Date of Patent: April 18, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Jun Chen
  • Patent number: 11592750
    Abstract: Exposure apparatus includes illumination optical system and projection optical system for forming projected image with light from the illumination optical system. The illumination optical system forms, on pupil plane of the illumination optical system, light emission region including first and second regions. The projected image is composited from images including first image formed by first light from the first region and second image formed by second light from the second region. The first light and/or the second light is broadband light. Increase/decrease change in line width in the second image caused by defocus has different sign with respect to increase/decrease change in line width in the first image caused by defocus, and increase/decrease change in line width in image obtained by compositing the first image and the second image, which is caused by defocus, is decreased.
    Type: Grant
    Filed: May 1, 2020
    Date of Patent: February 28, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Manabu Hakko