Patents by Inventor Andrew M. Greene

Andrew M. Greene has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240170538
    Abstract: A semiconductor structure includes a field-effect transistor region having a strained channel. The strained channel has a silicon germanium core layer and a silicon cladding layer disposed on the core layer.
    Type: Application
    Filed: November 22, 2022
    Publication date: May 23, 2024
    Inventors: Liqiao Qin, Heng WU, Ruilong Xie, Julien Frougier, Min Gyu Sung, Shogo Mochizuki, Andrew M. Greene
  • Patent number: 11990508
    Abstract: Semiconductor devices and methods of forming the same include recessing sacrificial layers in a stack of alternating sacrificial layers and channel layers using a first etch to form curved recesses at sidewalls of each sacrificial layer in the stack, with tails of sacrificial material being present at a top and bottom of each curved recess. Dielectric plugs are formed that each partially fill a respective curved recess, leaving exposed at least a portion of each tail of sacrificial material. The tails of sacrificial material are etched back using a second etch to expand the recesses. Inner spacers are formed in the expanded recesses.
    Type: Grant
    Filed: August 18, 2021
    Date of Patent: May 21, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Andrew M. Greene, Yao Yao, Ruilong Xie, Veeraraghavan S. Basker
  • Publication number: 20240128346
    Abstract: A semiconductor structure is provided that includes a pFET located in a pFET device region, the pFET includes a first functional gate structure and a plurality of pFET semiconductor channel material nanosheets, and an nFET located in the nFET device region, the nFET includes a second functional gate structure and a plurality of pFET semiconductor channel material nanosheets. The pFET semiconductor channel material nanosheets can be staggered relative to, or vertically aligned in a horizontal direction with, the nFET semiconductor channel material nanosheets. When staggered, a bottom dielectric isolation structure can be located in both the device regions, and the second functional gate structures has a bottommost surface that extends beneath a topmost surface of the bottom dielectric isolation structure. When horizontally aligned, a vertical dielectric pillar is located between the two device regions.
    Type: Application
    Filed: October 12, 2022
    Publication date: April 18, 2024
    Inventors: Julien Frougier, Andrew M. Greene, Shogo Mochizuki, Ruilong Xie, Liqiao Qin, Gen Tsutsui, Nicolas Jean Loubet, Min Gyu Sung, Chanro Park, Kangguo Cheng, Heng Wu
  • Publication number: 20240113213
    Abstract: A semiconductor device including a channel region of stacked semiconductor layers arranged in at least one cluster, wherein each cluster includes a pair of the semiconductor sheets with a dielectric material present therebetween. The semiconductor device further includes a gate structure encapsulating the channel region of stacked semiconductor sheets arranged in clusters. Source and drain regions are present on opposing sides of the channel region.
    Type: Application
    Filed: September 30, 2022
    Publication date: April 4, 2024
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng, Andrew M. Greene, Sung Dae Suk
  • Publication number: 20240113200
    Abstract: An integrated circuit apparatus includes a substrate and a well contact that is disposed on the substrate. The well contact includes first and second source/drain structures that are disposed on the substrate; a metal vertical portion that contacts the substrate immediately between the first and second source/drain structures; inner spacers that electrically insulate the vertical portion from the adjacent source/drain structures; bottom dielectric isolation that electrically insulates the source/drain structures from the substrate; and a well portion that is embedded into the substrate in registry with the vertical portion. The well portion is doped differently than the substrate.
    Type: Application
    Filed: October 4, 2022
    Publication date: April 4, 2024
    Inventors: HUIMEI ZHOU, MIAOMIAO WANG, Julien Frougier, Andrew M. Greene, Barry Paul Linder, Kai Zhao, Ruilong Xie, Tian Shen, Veeraraghavan S. Basker
  • Publication number: 20240113192
    Abstract: Embodiments herein include semiconductor structures that may include a semiconductor structure for improving the switching speed of a first transistor is disclosed. The first transistor may include a first source/drain (S/D), a metal gate, a spacer between the first S/D and the metal gate, and a first nanosheet channel. The first nanosheet channel may include: a gate section with silicon-germanium (SiGe) surrounded by the metal gate; and a junction section comprising silicon surrounded by the spacer.
    Type: Application
    Filed: September 29, 2022
    Publication date: April 4, 2024
    Inventors: Shogo Mochizuki, Andrew M. Greene, Gen Tsutsui
  • Patent number: 11942557
    Abstract: A semiconductor nanosheet device including semiconductor channel layers vertically aligned and stacked one on top of another, separated by a work function metal, and a second layer between two first layers, the second layer and two first layers between the semiconductor channel layers and a substrate. A semiconductor device including a lower first layer, a second layer, and a source drain region between a first set of semiconductor channel layers vertically aligned and stacked one on top of another, and a second set of semiconductor channel layers. A method including forming a stack sacrificial layer, a stack of nanosheet layers, forming a cavity by removing the stack sacrificial layer, and simultaneously forming a first layer on an upper surface of the stack sacrificial layer, on vertical side surfaces of the set of sacrificial gates, and an upper first layer and a lower first layer in a portion of the cavity.
    Type: Grant
    Filed: May 3, 2021
    Date of Patent: March 26, 2024
    Assignee: International Business Machines Corporation
    Inventors: Lan Yu, Andrew M. Greene, Wenyu Xu, Heng Wu
  • Publication number: 20240088252
    Abstract: A semiconductor device, such as an integrated circuit, microprocessor, wafer, or the like, includes a first gate all around field effect transistor (GAA FET) and second GAA FET within the same region type (e.g., p-type region or n-type region, etc.) with relatively heterogenous channels within the same region. The first GAA FET includes a plurality of first channels of a first channel material (e.g., SiGex cladded channels). A second GAA FET includes a plurality of second channels of a second channel material (e.g., SiGey cladded channels, Si channels, or the like). The GAA FETs may have different channel structures, such as relatively different channel lengths. The heterogenous channels may provide improved GAA FET device performance by allowing an ability to tune or adjust channel mobility of GAA FETs in similar region types in different locations or when utilized in different applications.
    Type: Application
    Filed: September 8, 2022
    Publication date: March 14, 2024
    Inventors: Andrew M. Greene, Shogo Mochizuki, Julien Frougier, Gen Tsutsui, Liqiao Qin
  • Publication number: 20240072041
    Abstract: Techniques for co-integrating gate-all-around nanosheet devices having bottom dielectric isolation with an ideal vertical P-N-P diode on a common substrate are provided. In one aspect, a semiconductor structure includes: a diode in a first region of a bulk substrate, where the diode includes P-N-P vertical implanted layers present in the bulk substrate, and a single source/drain region epitaxial material disposed on the P-N-P vertical implanted layers; and a nanosheet device with a bottom dielectric isolation layer in a second region of the bulk substrate. The nanosheet device can include nanosheet channels and gates that surround a portion of each of the nanosheet channels in a gate-all-around configuration. A method of fabricating the present semiconductor structures is also provided.
    Type: Application
    Filed: August 24, 2022
    Publication date: February 29, 2024
    Inventors: Andrew Gaul, Anthony I. Chou, Julien Frougier, Andrew M. Greene
  • Patent number: 11908743
    Abstract: Semiconductor devices, integrated chips, and methods of forming the same include forming a fill over a stack of semiconductor layers. The stack of semiconductor layers includes a first sacrificial layer and a set of alternating second sacrificial layers and channel layers. A dielectric fin is formed over the stack of semiconductor layers. The first sacrificial layer and the second sacrificial layers are etched away, leaving the channel layers supported by the dielectric fin over an exposed substrate surface. A dielectric layer is conformally deposited on the exposed substrate surface, the dielectric layer having a consistent thickness across the top surface. A conductive material is deposited over the dielectric layer.
    Type: Grant
    Filed: September 27, 2021
    Date of Patent: February 20, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Huimei Zhou, Andrew M. Greene, Julien Frougier, Ruqiang Bao, Jingyun Zhang, Miaomiao Wang, Dechao Guo
  • Patent number: 11894436
    Abstract: A CFET (complementary field effect transistor) structure including a substrate, a first CFET formed above the substrate, and a second CFET formed above the substrate. The first CFET includes a top FET and a bottom FET. The top FET and bottom FET of the first CFET include at least one nanosheet channel. A gate affiliated with the first CFET and the second CFET devices includes a continuous horizontal dielectric over the entire length of the gate. The top FET of each CFET has a first polarity. The bottom FET of each a CFET comprises a second polarity. The top FET of the first CFET includes a first work function metal, and the top FET of the second CFET includes a second work function metal.
    Type: Grant
    Filed: December 6, 2021
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Julien Frougier, Ruilong Xie, Nicolas Loubet, Andrew M. Greene, Veeraraghavan S. Basker, Balasubramanian S. Pranatharthiharan
  • Patent number: 11894361
    Abstract: A semiconductor device is provided. The semiconductor device includes a first field effect device on a first region of a substrate, wherein a first gate structure and an electrostatic discharge device on a second region of the substrate, wherein a second gate structure for the electrostatic discharge device is separated from the substrate by the bottom dielectric layer, and a second source/drain for the electrostatic discharge device is in electrical contact with the substrate, wherein the second source/drain is doped with a second dopant type.
    Type: Grant
    Filed: December 8, 2021
    Date of Patent: February 6, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang, Nicolas Loubet
  • Publication number: 20240006467
    Abstract: A semiconductor structure that includes a nanosheet logic device (i.e., nFET and/or pFET) co-integrated with a precision middle-of-the-line (MOL) resistor is provided. The precision MOL resistor is located over a nanosheet device and is present in at least one resistor device region of a semiconductor substrate. The at least one resistor device region can include a first resistor device region in which the MOL resistor is optimized for low capacitance and/or a second resistor device region in which the MOL resistor is optimized for low self-heating.
    Type: Application
    Filed: June 29, 2022
    Publication date: January 4, 2024
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Nicolas Jean Loubet, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang
  • Publication number: 20230420457
    Abstract: Embodiments of the invention include a single stack dual channel gate-all-around nanosheet with strained PFET and bottom dielectric isolation NFET. A PFET comprising at least one silicon germanium channel is formed. An NFET comprising at least one silicon channel is formed, the PFET being positioned laterally to the NFET, the at least one silicon channel and the at least one silicon germanium channel being staggered in a vertical direction.
    Type: Application
    Filed: June 23, 2022
    Publication date: December 28, 2023
    Inventors: Julien Frougier, Andrew M. Greene, Shogo Mochizuki, Kangguo Cheng, Ruilong Xie, Heng Wu, Min Gyu Sung, Liqiao Qin, Gen Tsutsui
  • Publication number: 20230387238
    Abstract: A complementary metal oxide semiconductor (CMOS) device. The device includes a pFET epi and an nFET epi. The pFET epi includes a single dielectric layer that wraps around a first portion of the pFET epi and a confined trench epi on a second portion of the pFET epi that is adjacent a first contact. The nFET epi includes a bi-layer dielectric liner that wraps around a first portion of the nFET epi and a second portion of the nFET epi that is adjacent a second contact.
    Type: Application
    Filed: May 24, 2022
    Publication date: November 30, 2023
    Inventors: Ruilong Xie, Julien Frougier, Andrew M. Greene, REINALDO VEGA
  • Publication number: 20230369394
    Abstract: Embodiments of present invention provide a method of forming a nanosheet transistor structure. The method includes forming a nanosheet stack on a substrate, the nanosheet stack having a set of nanosheets separated by a set of sacrificial sheets; forming a vertical dielectric pillar separated from the nanosheet stack; forming a dielectric liner lining the nanosheet stack and the vertical dielectric pillar; forming a set of inner spacers between the set of nanosheets; forming a side spacer between the set of inner spacers and the vertical dielectric pillar, the side spacer being surrounded by the dielectric liner at least at a left side between the set of inner spacers and the side spacer and at a right side between the side spacer and the vertical dielectric pillar; and forming a replacement gate stack surrounding the set of nanosheets. A structure formed thereby is also provided.
    Type: Application
    Filed: May 11, 2022
    Publication date: November 16, 2023
    Inventors: Ruilong Xie, Julien Frougier, Andrew M. Greene, Junli Wang, Nicolas Jean Loubet
  • Patent number: 11776957
    Abstract: A method of forming a power rail to semiconductor devices comprising removing a portion of the gate structure forming a gate cut trench separating a first active region of fin structures from a second active region of fin structures. A conformal etch stop layer is formed in the gate cut trench. A fill material is formed on the conformal etch stop layer filling at least a portion of the gate cut trench. The fill material has a composition that is etched selectively to the conformal etch stop layer. A power rail is formed in the gate cut trench. The conformal etch stop layer obstructs lateral etching during forming the power rail to substantially eliminate power rail to gate structure shorting.
    Type: Grant
    Filed: December 7, 2022
    Date of Patent: October 3, 2023
    Assignee: TESSERA LLC
    Inventors: Marc A. Bergendahl, Andrew M. Greene, Rajasekhar Venigalla
  • Publication number: 20230282722
    Abstract: A semiconductor device including a first nanodevice is located on a substrate, where the first nanodevice includes at least one channel. A first source/drain connected to the first nanodevice. A second nanodevice located on the substrate, where the second nanodevice includes at least one channel and a second source/drain connected to the second nanodevice. A first contact located above the first source/drain. A second contact located above the second source/drain. A contact cap located on top of the first contact and the second contact, where the contact cap has a first leg that extends downwards between the first contact and the second contact. The first leg of the contact cap is in contact with a first sidewall of the first contact, and a first sidewall of the second contact.
    Type: Application
    Filed: March 4, 2022
    Publication date: September 7, 2023
    Inventors: Julien Frougier, Sagarika Mukesh, Albert M Chu, Ruilong Xie, Andrew M. Greene, Eric Miller, Junli Wang, Veeraraghavan S. Basker, Prateek Hundekar, Tushar Gupta, Su Chen Fan
  • Publication number: 20230282641
    Abstract: A method of forming a power rail to semiconductor devices comprising removing a portion of the gate structure forming a gate cut trench separating a first active region of fin structures from a second active region of fin structures. A conformal etch stop layer is formed in the gate cut trench. A fill material is formed on the conformal etch stop layer filling at least a portion of the gate cut trench. The fill material has a composition that is etched selectively to the conformal etch stop layer. A power rail is formed in the gate cut trench. The conformal etch stop layer obstructs lateral etching during forming the power rail to substantially eliminate power rail to gate structure shorting.
    Type: Application
    Filed: December 7, 2022
    Publication date: September 7, 2023
    Inventors: Marc A. Bergendahl, Andrew M. Greene, Rajasekhar Venigalla
  • Patent number: 11742350
    Abstract: Embodiments of the present invention are directed to fabrication methods and resulting structures that provide metal gate N/P boundary control in an integrated circuit (IC) using an active gate cut and recess processing scheme. In a non-limiting embodiment of the invention, a gate cut is formed in an N/P boundary between an n-type field effect transistor (FET) and a p-type FET. A first portion of a first work function metal is removed over a channel region of the n-type FET. The gate cut prevents etching a second portion of the first work function metal. The first portion of the first work function metal is replaced with a second work function metal. The gate cut is recessed, and a conductive region is formed on the recessed surface of the gate cut. The conductive region provides electrical continuity across the N/P boundary.
    Type: Grant
    Filed: September 23, 2021
    Date of Patent: August 29, 2023
    Assignee: International Business Machines Corporation
    Inventors: Andrew Gaul, Chanro Park, Julien Frougier, Ruilong Xie, Andrew M. Greene, Christopher J. Waskiewicz