Patents by Inventor Ercan Adem

Ercan Adem has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 7468296
    Abstract: In fabricating an electronic structure, a substrate is provided, and a first barrier layer is provided on the substrate. A germanium thin film diode is provided on the first barrier layer, and a second barrier layer is provided on the germanium thin film diode. A memory device is provided over and connected to the second barrier layer.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: December 23, 2008
    Assignees: Spansion LLC, Advanced Micro Devices Inc.
    Inventors: Ercan Adem, Matthew Buynoski, Robert Chiu, Bryan Choo, Calvin Gabriel, Joong Jeon, David Matsumoto, Jeffrey Shields, Bhanwar Singh, Winny Stockwell, Wen Yu
  • Patent number: 7361586
    Abstract: Methods are described for eliminating void formation during the fabrication of and/or operation of memory cells/devices. According to one aspect of the present disclosure, the methods to eliminate voids include formation of an opening on a semiconductor structure, formation of a diffusion barrier layer, deposition of a metal into the opening, preamorphization of the metal using preamorphization implants, and formation of a conductivity facilitating layer. According to another aspect of the present disclosure, the methods to eliminate voids include formation of an opening on a semiconductor structure, formation of a diffusion barrier layer, deposition of a metal into the opening, preamorphization of the metal using a contact with a plasma, and formation of a conductivity facilitating layer.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: April 22, 2008
    Assignee: Spansion LLC
    Inventors: Ercan Adem, Nicholas H. Tripsas
  • Publication number: 20070020919
    Abstract: Methods are described for eliminating void formation during the fabrication of and/or operation of memory cells/devices. According to one aspect of the present disclosure, the methods to eliminate voids include formation of an opening on a semiconductor structure, formation of a diffusion barrier layer, deposition of a metal into the opening, preamorphization of the metal using preamorphization implants, and formation of a conductivity facilitating layer. According to another aspect of the present disclosure, the methods to eliminate voids include formation of an opening on a semiconductor structure, formation of a diffusion barrier layer, deposition of a metal into the opening, preamorphization of the metal using a contact with a plasma, and formation of a conductivity facilitating layer.
    Type: Application
    Filed: July 1, 2005
    Publication date: January 25, 2007
    Inventors: Ercan Adem, Nicholas Tripsas
  • Patent number: 7115498
    Abstract: A method of fabricating an integrated circuit can include forming a barrier layer along lateral side walls and a bottom of a via aperture, forming a seed layer proximate and conformal to the barrier layer, and ion implanting elements into the seed layer. The via aperture is configured to receive a via material that electrically connects a first conductive layer and a second conductive layer.
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: October 3, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Ercan Adem
  • Patent number: 6869878
    Abstract: The reliability and performance of planarized metallization patterns in an electrical device, for example copper, inlaid in the surface of a layer of dielectric material overlying a semiconductor wafer substrate, are enhanced by a method for reliably depositing a barrier layer selective to the metallization patterns. The method comprises forming a sacrificial dielectric layer above a substrate. Metallization patterns are formed in the sacrificial dielectric layer. The barrier layer is selectively deposited on the metallization patterns. Portions of the barrier material undesirably deposited on the sacrificial dielectric layer are removed by removing the sacrificial dielectric layer, thus preventing bridging of adjacent metallization features by the barrier layer portions. An interlevel dielectric layer is then formed in place of the sacrificial dielectric layer.
    Type: Grant
    Filed: February 14, 2003
    Date of Patent: March 22, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ercan Adem, John E. Sanchez, Darrell M. Erb, Suzette K. Pangrle
  • Patent number: 6770559
    Abstract: A conductive element of an integrated circuit wiring network is formed by a plating process. A seed layer for the conductive material is grown on the sidewalls and bottom surface of a trench using a low energy ion implantation process. The implantation is performed at an angle to the substrate to achieve coverage of the trench sidewalls. The resulting seed layer avoids constricting or closing the opening of the trench and has an approximately uniform thickness.
    Type: Grant
    Filed: October 29, 2002
    Date of Patent: August 3, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ercan Adem, Fei Wang, Joffre F. Bernard
  • Patent number: 6667070
    Abstract: A novel method is provided for in situ monitoring of a film being deposited on a wafer for manufacturing a semiconductor device. The method involves producing an incident beam of radiation directed during a deposition process to a film being deposited on a wafer in a deposition reactor. The Raman scattered radiation resulted from interaction of the incident beam with molecules of the deposited film is detected to produce a Raman spectrum of the deposited film.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: December 23, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Ercan Adem
  • Publication number: 20030219968
    Abstract: A nonporous sacrificial layer is used to form conductive elements such as vias or interconnects in an inlay process, resulting in smooth walled structures of the inlaid vias or interconnects and smooth walled structures of any surrounding layers such as barrier layers. After formation of the smooth walled conductive elements, the sacrificial layer is removed and replaced with a porous dielectric, resulting in desirable porous low-k dielectric structures integrated with the smooth walled conductive elements and barrier materials.
    Type: Application
    Filed: December 13, 2001
    Publication date: November 27, 2003
    Inventors: Ercan Adem, Darrell M. Erb
  • Patent number: 6641747
    Abstract: An apparatus and method for detecting an endpoint for an etching process utilize a reaction chamber with an ion source and detector placed within the reaction chamber. The ion source directs a primary beam of ions towards a wafer so that the ion beam impacts the top layer of the wafer. A detector detects primary ions reflected from the wafer and secondary ions scattered from the wafer. A value is determined that corresponds to the amount of reflected and scattered ions. A change in the value indicates that the ion beam is impacting a layer beneath the top layer of the wafer, and signifies the reaching of the etch process endpoint.
    Type: Grant
    Filed: February 15, 2001
    Date of Patent: November 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Todd P. Lukanc, Ercan Adem
  • Patent number: 6605513
    Abstract: A self-aligned silicide process that can accommodate a low thermal budget and form silicide regions of small dimensions in a controlled reaction. In a first temperature treatment, nickel metal or nickel alloy is reacted with a silicon material to form at least one high resistance nickel silicide region. Unreacted nickel is removed. A dielectric layer is then deposited over a high resistance nickel silicide regions. In a second temperature treatment, the at least one high resistance nickel silicide region and dielectric layer are reacted at a prescribed temperature to form at least one low resistance silicide region and process the dielectric layer. Bridging between regions is avoided by the two-step process as silicide growth is controlled, and unreacted nickel between silicide regions is removed after the first temperature treatment. The processing of the high resistance nickel silicide regions and the dielectric layer are conveniently combined into a single temperature treatment.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: August 12, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Ercan Adem, Jacques J. Bertrand, Paul R. Besser, Matthew S. Buynoski, John Clayton Foster, Paul L. King, George Jonathan Kluth, Minh Van Ngo, Christy Mei-Chu Woo
  • Patent number: 6583070
    Abstract: A semiconductor device having a reduced resistance-capacitance time constant is formed by treating a dielectric layer to reduce its dielectric constant. Embodiments include exposing a deposited dielectric layer to ionic radiation, as with Helium ion implantation, to form voids within the layer, thereby reducing its dielectric constant.
    Type: Grant
    Filed: February 8, 2001
    Date of Patent: June 24, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ting Y. Tsui, Ercan Adem
  • Patent number: 6562718
    Abstract: A method of forming a fully silicidized gate of a semiconductor device includes forming silicide in active regions and a portion of a gate. A shield layer is blanket deposited over the device. The top surface of the gate electrode is then exposed. A refractory metal layer is deposited and annealing is performed to cause the metal to react with the gate and fully silicidize the gate, with the shield layer protecting the active regions of the device from further silicidization to thereby prevent spiking and current leakage in the active regions.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: May 13, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Ercan Adem, Jacques J. Bertrand, Paul R. Besser, Matthew S. Buynoski, John C. Foster, Paul L. King, George J. Kluth, Minh V. Ngo, Eric N. Paton, Christy Mei-Chu Woo
  • Patent number: 6521529
    Abstract: Bridging between nickel silicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented, after silicidation and removal of any unreacted nickel, by treating the exposed surfaces of the silicon nitride sidewall spacers with a HDP plasma to oxidize nickel silicide thereon forming a surface layer comprising silicoin oxide and silicon oxynitride. Embodiments include treating the silicon nitride sidewall spacers with a HDP plasma to form a surface silicon oxide/silicon oxynitride region having a thickness of about 40 Å to about 50 Å.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: February 18, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo, Ercan Adem, Robert A. Huertas
  • Patent number: 6518185
    Abstract: In the present method of fabricating a semiconductor device, openings of different configurations (for example, different aspect ratios) are provided in a dielectric layer. Substantially undoped copper is deposited over the dielectric layer, filling the openings and extending above the dielectric layer, the different configurations of the openings providing an upper surface of the substantially undoped copper that is generally non-planar. A portion of the substantially undoped copper is removed to provide a substantially planar upper surface thereof, and a layer of doped copper is deposited on the upper surface of the substantially undoped copper. An anneal step is undertaken to difffuse the doping element into the copper in the openings.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: February 11, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Pin-Chin Connie Wang, Fei Wang, Kashmir Sahota, Steven Avanzino, Amit Marathe, Matthew Buynoski, Ercan Adem, Christy Woo
  • Publication number: 20020068408
    Abstract: A self-aligned silicide process that can accommodate a low thermal budget and form silicide regions of small dimensions in a controlled reaction. In a first temperature treatment, nickel metal or nickel alloy is reacted with a silicon material to form at least one high resistance nickel silicide region. Unreacted nickel is removed. A dielectric layer is then deposited over a high resistance nickel silicide regions. In a second temperature treatment, the at least one high resistance nickel silicide region and dielectric layer are reacted at a prescribed temperature to form at least one low resistance silicide region and process the dielectric layer. Bridging between regions is avoided by the two-step process as silicide growth is controlled, and unreacted nickel between silicide regions is removed after the first temperature treatment. The processing of the high resistance nickel silicide regions and the dielectric layer are conveniently combined into a single temperature treatment.
    Type: Application
    Filed: December 6, 2000
    Publication date: June 6, 2002
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Ercan Adem, Jacques J. Bertrand, Paul R. Besser, Matthew S. Buynoski, John Clayton Foster, Paul L. King, George Jonathan Kluth, Minh Van Ngo, Christy Mei-Chu Woo
  • Patent number: 6383925
    Abstract: The adhesion of a barrier or capping layer to a Cu or Cu alloy interconnect member is significantly enhanced by treating the exposed surface of the Cu or Cu alloy interconnect member, after CMP, in a reaction chamber with a plasma containing ammonia and nitrogen for a brief period of time to reduce the surface oxide and then introducing silane into the reaction chamber to deposit the barrier layer, e.g., silicon nitride, under high density plasma conditions in the presence of nitrogen. The presence of nitrogen during plasma oxide layer reduction and plasma barrier layer deposition significantly improves adhesion of the barrier layer to the Cu or Cu alloy surface.
    Type: Grant
    Filed: February 4, 2000
    Date of Patent: May 7, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Lu You, Robert A. Huertas, Ercan Adem
  • Patent number: 6281559
    Abstract: An ultra-large-scale integrated (ULSI) circuit includes MOSFETs which have different threshold voltages and yet have the same channel characteristics. The MOSFETs include gate structures or gate stacks with a silicon and germanium material provided over a seed layer. The seed layer can be a 20-40 Å polysilicon layer. An amorphous silicon layer is provided over the silicon and germanium material, and a cap layer is provided over the amorphous silicon layer. The polysilicon material is implanted with lower concentrations of germanium, where lower threshold voltage MOSFETs are required. Over a range of 0-60% concentration of germanium, the threshold voltage can be varied by roughly 240 mV.
    Type: Grant
    Filed: March 3, 1999
    Date of Patent: August 28, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Ercan Adem
  • Patent number: 6208030
    Abstract: A semiconductor device having a reduced resistance-capacitance time constant is formed by treating a dielectric layer to reduce its dielectric constant. Embodiments include exposing a deposited dielectric layer to ionic radiation, as with Helium ion implantation, to form voids within the layer, thereby reducing its dielectric constant.
    Type: Grant
    Filed: October 27, 1998
    Date of Patent: March 27, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ting Y. Tsui, Ercan Adem