Patents by Inventor H. Zhang

H. Zhang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240145480
    Abstract: Single gate and dual gate FinFET devices suitable for use in an SRAM memory array have respective fins, source regions, and drain regions that are formed from portions of a single, contiguous layer on the semiconductor substrate, so that STI is unnecessary. Pairs of FinFETs can be configured as dependent-gate devices wherein adjacent channels are controlled by a common gate, or as independent-gate devices wherein one channel is controlled by two gates. Metal interconnects coupling a plurality of the FinFET devices are made of a same material as the gate electrodes. Such structural and material commonalities help to reduce costs of manufacturing high-density memory arrays.
    Type: Application
    Filed: May 31, 2023
    Publication date: May 2, 2024
    Applicant: STMICROELECTRONICS, INC.
    Inventor: John H. ZHANG
  • Patent number: 11948977
    Abstract: Transistors having partially recessed gates are constructed on silicon-on-insulator (SOI) semiconductor wafers provided with a buried oxide layer (BOX), for example, FD-SOI and UTBB devices. An epitaxially grown channel region relaxes constraints on the design of doped source and drain profiles. Formation of a partially recessed gate and raised epitaxial source and drain regions allow further improvements in transistor performance and reduction of short channel effects such as drain induced barrier lowering (DIBL) and control of a characteristic subthreshold slope. Gate recess can be varied to place the channel at different depths relative to the dopant profile, assisted by advanced process control. The partially recessed gate has an associated high-k gate dielectric that is initially formed in contact with three sides of the gate. Subsequent removal of the high-k sidewalls and substitution of a lower-k silicon nitride encapsulant lowers capacitance between the gate and the source and drain regions.
    Type: Grant
    Filed: June 28, 2022
    Date of Patent: April 2, 2024
    Assignee: STMICROELECTRONICS, INC.
    Inventor: John H. Zhang
  • Patent number: 11927170
    Abstract: A hydrokinetic turbine system for harvesting energy from riverine and tidal sources, including a first floating dock, a marine hydrokinetic turbine mounted on the first floating dock, and a second floating dock. The system further includes a winch assembly mounted on the second floating dock and operationally connected to the first floating dock and a linkage assembly operationally connected to the first floating dock and to the second floating dock. The linkage assembly may be actuated to pull the first floating dock into contact with the second floating dock. The linkage assembly may be actuated to distance the first floating dock from the second floating dock, and the winch assembly may be energized to orient the first floating dock into a position wherein the marine hydrokinetic turbine is above the first floating dock and wherein the winch assembly may be energized to orient the first floating dock into a position wherein the marine hydrokinetic turbine is below the first floating dock.
    Type: Grant
    Filed: January 10, 2022
    Date of Patent: March 12, 2024
    Assignee: Purdue Research Foundation
    Inventors: Jun Chen, Haiyan H. Zhang, Charles Greg Jensen
  • Publication number: 20240073120
    Abstract: In one example, a network device within a network domain determines routing information to exchange in a network. The network domain corresponds to an actual entity and includes a structure with a plurality of domains arranged in hierarchical levels corresponding to a hierarchy of entities of the actual entity. The routing information indicates at least one domain and hierarchical level of the structure. The network device exchanges the routing information in the network to control routing through the entities of the actual entity.
    Type: Application
    Filed: August 29, 2022
    Publication date: February 29, 2024
    Inventor: Randy H. Zhang
  • Publication number: 20230290855
    Abstract: The invention discloses a transistor structure including a substrate, a semiconductor layer disposed on the substrate and a gate layer disposed on the semiconductor layer, wherein the gate layer includes at least one gate having a first height, a first side and a second side opposite to the first side, a first dielectric spacer is disposed at the first side of the at least one gate, a first air spacer having a second height is disposed inside the first dielectric spacer, and the second height is lower than the first height.
    Type: Application
    Filed: March 11, 2022
    Publication date: September 14, 2023
    Inventors: John H Zhang, Chun Yu Wong, Sunil K Singh, Liang Li, Heng Yang
  • Publication number: 20230284458
    Abstract: A semiconductor structure includes a substrate having a doped silicon substrate, a buried oxide layer, and a silicon device layer. A trench capacitor having an inner electrode and a node dielectric layer is formed in a trench of the substrate. The inner electrode and the node dielectric layer extend into the doped silicon substrate. A select transistor is disposed in the silicon device layer. An embedded contact is disposed atop the trench capacitor to electrically couple a doped region of the select transistor with the inner electrode. A first dielectric layer is disposed around the select transistor. A second dielectric layer is deposited on the first dielectric layer. A contact plug is formed in the second dielectric layer and the first dielectric layer and is in direct contact with the embedded contact. A memory stack with a MTJ element is disposed on the contact plug.
    Type: Application
    Filed: March 1, 2022
    Publication date: September 7, 2023
    Applicant: HeFeChip Corporation Limited
    Inventors: John H Zhang, Brian Li Ji, Yanzun Li, Devendra K Sadana
  • Patent number: 11705458
    Abstract: Single gate and dual gate FinFET devices suitable for use in an SRAM memory array have respective fins, source regions, and drain regions that are formed from portions of a single, contiguous layer on the semiconductor substrate, so that STI is unnecessary. Pairs of FinFETs can be configured as dependent-gate devices wherein adjacent channels are controlled by a common gate, or as independent-gate devices wherein one channel is controlled by two gates. Metal interconnects coupling a plurality of the FinFET devices are made of a same material as the gate electrodes. Such structural and material commonalities help to reduce costs of manufacturing high-density memory arrays.
    Type: Grant
    Filed: January 26, 2021
    Date of Patent: July 18, 2023
    Assignee: STMICROELECTRONICS, INC.
    Inventor: John H. Zhang
  • Patent number: 11695053
    Abstract: Energy bands of a thin film containing molecular clusters are tuned by controlling the size and the charge of the clusters during thin film deposition. Using atomic layer deposition, an ionic cluster film is formed in the gate region of a nanometer-scale transistor to adjust the threshold voltage, and a neutral cluster film is formed in the source and drain regions to adjust contact resistance. A work function semiconductor material such as a silver bromide or a lanthanum oxide is deposited so as to include clusters of different sizes such as dimers, trimers, and tetramers, formed from isolated monomers. A type of Atomic Layer Deposition system is used to deposit on semiconductor wafers molecular clusters to form thin film junctions having selected energy gaps. A beam of ions contains different ionic clusters which are then selected for deposition by passing the beam through a filter in which different apertures select clusters based on size and orientation.
    Type: Grant
    Filed: September 20, 2022
    Date of Patent: July 4, 2023
    Assignee: STMICROELECTRONICS, INC.
    Inventor: John H. Zhang
  • Patent number: 11664415
    Abstract: An interconnect structure for use in coupling transistors in an integrated circuit is disclosed, including various configurations in which ferroelectric capacitors exhibiting negative capacitance are coupled in series with dielectric capacitors. In one embodiment, the negative capacitor includes a dielectric/ferroelectric bi-layer. When a negative capacitor is electrically coupled in series with a conventional dielectric capacitor, the series combination behaves like a stable ferroelectric capacitor for which the overall capacitance can be measured experimentally, and tuned to a desired value. The composite capacitance of a dielectric capacitor and a ferroelectric capacitor having negative capacitance coupled in series is, in theory, infinite, and in practice, very large. A series combination of positive and negative capacitors within a microelectronic interconnect structure can be used to make high capacity DRAM memory cells.
    Type: Grant
    Filed: July 12, 2021
    Date of Patent: May 30, 2023
    Assignee: STMICROELECTRONICS, INC.
    Inventor: John H. Zhang
  • Patent number: 11664458
    Abstract: A vacuum channel transistor having a vertical gate-all-around (GAA) architecture provides high performance for high-frequency applications, and features a small footprint compared with existing planar devices. The GAA vacuum channel transistor features stacked, tapered source and drain regions that are formed by notching a doped silicon pillar using a lateral oxidation process. A temporary support structure is provided for the pillar during formation of the vacuum channel. Performance of the GAA vacuum channel transistor can be tuned by replacing air in the channel with other gases such as helium, neon, or argon. A threshold voltage of the GAA vacuum channel transistor can be adjusted by altering dopant concentrations of the silicon pillar from which the source and drain regions are formed.
    Type: Grant
    Filed: May 17, 2021
    Date of Patent: May 30, 2023
    Assignee: STMICROELECTRONICS, INC.
    Inventor: John H. Zhang
  • Patent number: 11622707
    Abstract: It is recognized that, because of its unique properties, graphene can serve as an interface with biological cells that communicate by an electrical impulse, or action potential. Responding to a sensed signal can be accomplished by coupling a graphene sensor to a low power digital electronic switch that is activatable by the sensed low power electrical signals. It is further recognized that low power devices such as tunneling diodes and TFETs are suitable for use in such biological applications in conjunction with graphene sensors. While tunneling diodes can be used in diagnostic applications, TFETs, which are three-terminal devices, further permit controlling the voltage on one cell according to signals received by other cells. Thus, by the use of a biological sensor system that includes graphene nanowire sensors coupled to a TFET, charge can be redistributed among different biological cells, potentially with therapeutic effects.
    Type: Grant
    Filed: July 20, 2018
    Date of Patent: April 11, 2023
    Inventor: John H Zhang
  • Publication number: 20230018529
    Abstract: Energy bands of a thin film containing molecular clusters are tuned by controlling the size and the charge of the clusters during thin film deposition. Using atomic layer deposition, an ionic cluster film is formed in the gate region of a nanometer-scale transistor to adjust the threshold voltage, and a neutral cluster film is formed in the source and drain regions to adjust contact resistance. A work function semiconductor material such as a silver bromide or a lanthanum oxide is deposited so as to include clusters of different sizes such as dimers, trimers, and tetramers, formed from isolated monomers. A type of Atomic Layer Deposition system is used to deposit on semiconductor wafers molecular clusters to form thin film junctions having selected energy gaps. A beam of ions contains different ionic clusters which are then selected for deposition by passing the beam through a filter in which different apertures select clusters based on size and orientation.
    Type: Application
    Filed: September 20, 2022
    Publication date: January 19, 2023
    Applicant: STMICROELECTRONICS, INC.
    Inventor: John H. ZHANG
  • Publication number: 20220406658
    Abstract: A semiconductor device includes a first trench on a mandrel line through a top mask layer and stopping at a middle mask layer; and a second trench on a non-mandrel line through the top mask layer and stopping at the middle mask layer. A spacer material is removed from a structure resulting from etching the first trench and the second trench. The device includes a first via structure, formed using a removable material, in the first trench; a second via structure, formed using a removable material, in the second trench; an air-gap formed in a third trench created at a location of the spacer; a fourth trench formed by etching, to remove the first via structure and a first portion of a bottom mask layer under the first via structure; and a self-aligned line-end via on the mandrel line formed by filling the fourth trench with a conductive metal.
    Type: Application
    Filed: June 6, 2022
    Publication date: December 22, 2022
    Inventors: Lawrence A. Clevenger, Carl J. Radens, John H. Zhang
  • Patent number: 11515418
    Abstract: A tunneling transistor is implemented in silicon, using a FinFET device architecture. The tunneling FinFET has a non-planar, vertical, structure that extends out from the surface of a doped drain formed in a silicon substrate. The vertical structure includes a lightly doped fin defined by a subtractive etch process, and a heavily-doped source formed on top of the fin by epitaxial growth. The drain and channel have similar polarity, which is opposite that of the source. A gate abuts the channel region, capacitively controlling current flow through the channel from opposite sides. Source, drain, and gate terminals are all electrically accessible via front side contacts formed after completion of the device. Fabrication of the tunneling FinFET is compatible with conventional CMOS manufacturing processes, including replacement metal gate and self-aligned contact processes. Low-power operation allows the tunneling FinFET to provide a high current density compared with conventional planar devices.
    Type: Grant
    Filed: May 28, 2020
    Date of Patent: November 29, 2022
    Assignee: STMICROELECTRONICS, INC.
    Inventors: Qing Liu, John H. Zhang
  • Publication number: 20220368554
    Abstract: A method performed by a first device, which includes performing an audio call with a second device by transmitting a microphone signal as an uplink signal and receiving a downlink signal for driving a first speaker and while performing the audio call, performing a joint media playback session in which both devices independently stream a piece of media content for synchronous playback such that both devices receive an audio signal of the piece of media content for driving respective speakers at the same time, determining that a voice activity detection (VAD) signal indicates that the downlink signal includes speech, in response to determining that the VAD signal indicates that the downlink signal includes speech, processing the audio signal of the piece of media content by applying a scalar gain, and driving the first speaker with a mix of the downlink signal and the audio signal.
    Type: Application
    Filed: May 6, 2022
    Publication date: November 17, 2022
    Inventors: Joseph M. Williams, Eric H. Zhang, Taylor G. Carrigan, Darin B. Adler, David L. Biderman
  • Patent number: 11495676
    Abstract: Stress is introduced into the channel of an SOI FinFET device by transfer directly from a metal gate. In SOI devices in particular, stress transfer efficiency from the metal gate to the channel is nearly 100%. Either tensile or compressive stress can be applied to the fin channel by choosing different materials to be used in the gate stack as the bulk gate material, a gate liner, or a work function material, or by varying processing parameters during deposition of the gate or work function materials. P-gates and N-gates are therefore formed separately. Gate materials suitable for use as stressors include tungsten (W) for NFETs and titanium nitride (TiN) for PFETs. An optical planarization material assists in patterning the stress-inducing metal gates. A simplified process flow is disclosed in which isolation regions are formed without need for a separate mask layer, and gate sidewall spacers are not used.
    Type: Grant
    Filed: August 7, 2020
    Date of Patent: November 8, 2022
    Assignee: STMICROELECTRONICS, INC.
    Inventor: John H. Zhang
  • Patent number: 11482608
    Abstract: Energy bands of a thin film containing molecular clusters are tuned by controlling the size and the charge of the clusters during thin film deposition. Using atomic layer deposition, an ionic cluster film is formed in the gate region of a nanometer-scale transistor to adjust the threshold voltage, and a neutral cluster film is formed in the source and drain regions to adjust contact resistance. A work function semiconductor material such as a silver bromide or a lanthanum oxide is deposited so as to include clusters of different sizes such as dimers, trimers, and tetramers, formed from isolated monomers. A type of Atomic Layer Deposition system is used to deposit on semiconductor wafers molecular clusters to form thin film junctions having selected energy gaps. A beam of ions contains different ionic clusters which are then selected for deposition by passing the beam through a filter in which different apertures select clusters based on size and orientation.
    Type: Grant
    Filed: December 11, 2020
    Date of Patent: October 25, 2022
    Assignee: STMICROELECTRONICS, INC.
    Inventor: John H. Zhang
  • Publication number: 20220328632
    Abstract: Transistors having partially recessed gates are constructed on silicon-on-insulator (SOI) semiconductor wafers provided with a buried oxide layer (BOX), for example, FD-SOI and UTBB devices. An epitaxially grown channel region relaxes constraints on the design of doped source and drain profiles. Formation of a partially recessed gate and raised epitaxial source and drain regions allow further improvements in transistor performance and reduction of short channel effects such as drain induced barrier lowering (DIBL) and control of a characteristic subthreshold slope. Gate recess can be varied to place the channel at different depths relative to the dopant profile, assisted by advanced process control. The partially recessed gate has an associated high-k gate dielectric that is initially formed in contact with three sides of the gate. Subsequent removal of the high-k sidewalls and substitution of a lower-k silicon nitride encapsulant lowers capacitance between the gate and the source and drain regions.
    Type: Application
    Filed: June 28, 2022
    Publication date: October 13, 2022
    Applicant: STMICROELECTRONICS, INC.
    Inventor: John H. ZHANG
  • Publication number: 20220252036
    Abstract: A hydrokinetic turbine system for harvesting energy from riverine and tidal sources, including a first floating dock, a marine hydrokinetic turbine mounted on the first floating dock, and a second floating dock. The system further includes a winch assembly mounted on the second floating dock and operationally connected to the first floating dock and a linkage assembly operationally connected to the first floating dock and to the second floating dock. The linkage assembly may be actuated to pull the first floating dock into contact with the second floating dock. The linkage assembly may be actuated to distance the first floating dock from the second floating dock, and the winch assembly may be energized to orient the first floating dock into a position wherein the marine hydrokinetic turbine is above the first floating dock and wherein the winch assembly may be energized to orient the first floating dock into a position wherein the marine hydrokinetic turbine is below the first floating dock.
    Type: Application
    Filed: January 10, 2022
    Publication date: August 11, 2022
    Inventors: Jun Chen, Haiyan H. Zhang, Charles Greg Jensen
  • Patent number: 11398554
    Abstract: Transistors having partially recessed gates are constructed on silicon-on-insulator (SOI) semiconductor wafers provided with a buried oxide layer (BOX), for example, FD-SOI and UTBB devices. An epitaxially grown channel region relaxes constraints on the design of doped source and drain profiles. Formation of a partially recessed gate and raised epitaxial source and drain regions allow further improvements in transistor performance and reduction of short channel effects such as drain induced barrier lowering (DIBL) and control of a characteristic subthreshold slope. Gate recess can be varied to place the channel at different depths relative to the dopant profile, assisted by advanced process control. The partially recessed gate has an associated high-k gate dielectric that is initially formed in contact with three sides of the gate. Subsequent removal of the high-k sidewalls and substitution of a lower-k silicon nitride encapsulant lowers capacitance between the gate and the source and drain regions.
    Type: Grant
    Filed: January 18, 2018
    Date of Patent: July 26, 2022
    Assignee: STMICROELECTRONICS, INC.
    Inventor: John H. Zhang