Patents by Inventor Hirokazu Tokuno

Hirokazu Tokuno has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 8614475
    Abstract: A method of manufacturing a non-volatile memory device includes forming a number of memory cells. The method also includes depositing a first dielectric layer over the memory cells, where the first dielectric layer is a conformal layer having a substantially uniform thickness. The method further includes depositing a second dielectric layer over the first dielectric layer. Together, the first and second dielectric layers form an interlayer dielectric without voids.
    Type: Grant
    Filed: December 31, 2012
    Date of Patent: December 24, 2013
    Assignees: Spansion LLC, Advanced Mirco Devices, Inc.
    Inventors: Minh Van Ngo, Hirokazu Tokuno, Angela T. Hui, Wenmei Li, Hsiao-Han Thio
  • Patent number: 8415256
    Abstract: During semiconductor fabrication homogeneous gap-filling is achieved by depositing a thin dielectric layer into the gap, post deposition curing, and then repeating deposition and post deposition curing until gap-filling is completed. Embodiments include depositing a layer of low deposition temperature gap-fill dielectric into a high aspect ratio opening, such as a shallow trench or a gap between closely spaced apart gate electrode structures, as at a thickness of about 10 ? to about 500 ?, curing after deposition, as by UV radiation or by heating at a temperature of about 400° C. to about 1000° C., depositing another layer of low deposition temperature gap-filled dielectric, and curing after deposition. Embodiments include separately depositing and separately curing multiple layers.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: April 9, 2013
    Inventors: Alexander Nickel, Lu You, Hirokazu Tokuno, Minh Tran, Minh Van Ngo, Hieu Pham, Erik Wilson, Robert Huertas
  • Patent number: 8367493
    Abstract: A method of manufacturing a non-volatile memory device includes forming a number of memory cells. The method also includes depositing a first dielectric layer over the memory cells, where the first dielectric layer is a conformal layer having a substantially uniform thickness. The method further includes depositing a second dielectric layer over the first dielectric layer. Together, the first and second dielectric layers form an interlayer dielectric without voids.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: February 5, 2013
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Hirokazu Tokuno, Angela T. Hui, Wenmei Li, Hsiao-Han Thio
  • Patent number: 8309457
    Abstract: A method utilizing a multilayer anti-reflective coating layer structure can achieve low reflectivity at high numerical apertures. The multilayer anti-reflective coating structure can be utilized as a hard mask forming various integrated circuit structures. A multilayer anti-reflective coating structure can be utilized to form gate stacks comprised of polysilicon and a dielectric layer. A photoresist is applied above the multilayer anti-reflective coating which can include silicon oxynitride (SiON) and silicon rich nitride (SiRN).
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: November 13, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kouros Ghandehari, Anna M. Minvielle, Marina V. Plat, Hirokazu Tokuno
  • Publication number: 20120045888
    Abstract: A method utilizing a multilayer anti-reflective coating layer structure can achieve low reflectivity at high numerical apertures. The multilayer anti-reflective coating structure can be utilized as a hard mask forming various integrated circuit structures. A multilayer anti-reflective coating structure can be utilized to form gate stacks comprised of polysilicon and a dielectric layer. A photoresist is applied above the multilayer anti-reflective coating which can include silicon oxynitride (SiON) and silicon rich nitride (SiRN).
    Type: Application
    Filed: October 27, 2011
    Publication date: February 23, 2012
    Inventors: Kouros Ghandehari, Anna M. Minvielle, Marina V. Plat, Hirokazu Tokuno
  • Patent number: 8048797
    Abstract: A method utilizing a multilayer anti-reflective coating layer structure can achieve low reflectivity at high numerical apertures. The multilayer anti-reflective coating structure can be utilized as a hard mask forming various integrated circuit structures. A multilayer anti-reflective coating structure can be utilized to form gate stacks comprised of polysilicon and a dielectric layer. A photoresist is applied above the multilayer anti-reflective coating which can include silicon oxynitride (SiON) and silicon rich nitride (SiRN).
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: November 1, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kouros Ghandehari, Anna M. Minvielle, Marina V. Plat, Hirokazu Tokuno
  • Patent number: 8026169
    Abstract: Data retention in flash memory devices, such as mirrorbit devices, is improved by reducing the generation and/or diffusion of hydrogen ions during back end processing, such as annealing inlaid Cu. Embodiments include annealing inlaid Cu in an N2 atmosphere containing low H2 or no H2, and at temperatures less than 200° C., e.g., 100° C. to 150° C.
    Type: Grant
    Filed: November 6, 2006
    Date of Patent: September 27, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Alexander Nickel, Minh Q. Tran, Minh-Van Ngo, Hieu Pham, Erik Wilson, Hirokazu Tokuno, Amir Hossein Jafarpour, Inkuk Kang, Robert Huertas
  • Patent number: 7927723
    Abstract: A film stack includes an interlayer dielectric formed over one or more devices. The film stack further includes a first layer having a high extinction coefficient formed on the interlayer dielectric and a second layer having a low extinction coefficient formed on the first layer. The first and second layers prevent ultraviolet induced damage to the one or more devices while minimizing reflectivity for lithographic processes.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: April 19, 2011
    Assignees: Spansion LLC, GlobalFoundries Inc.
    Inventors: Angela T. Hui, Ning Cheng, Minh Van Ngo, Hirokazu Tokuno, Wenmei Li
  • Patent number: 7888269
    Abstract: A method includes forming a layer of silicon oxynitride (SiON), silicon rich nitride (SiRN) or silicon nitride (Si3N4) over a layer of semiconducting material. The method further includes forming a first layer of anti-reflective material over the layer of SiON, SiRN or Si3N4 and forming a second layer of anti-reflective material over the first layer. The method also includes using the first layer, second layer and layer of SiON, SiRN or Si3N4 as a mask when etching a pattern in the layer of semiconducting material.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: February 15, 2011
    Assignees: Spansion LLC, GlobalFoundries, Inc.
    Inventors: Kouros Ghandehari, Hirokazu Tokuno, David Matsumoto, Christopher H. Raeder, Christopher Foster, Weidong Qian, Minh Van Ngo
  • Patent number: 7884030
    Abstract: During semiconductor fabrication homogeneous gap-filling is achieved by depositing a thin dielectric layer into the gap, post deposition curing, and then repeating deposition and post deposition curing until gap-filling is completed. Embodiments include depositing a layer of low deposition temperature gap-fill dielectric into a high aspect ratio opening, such as a shallow trench or a gap between closely spaced apart gate electrode structures, as at a thickness of about 10 ? to about 500 ?, curing after deposition, as by UV radiation or by heating at a temperature of about 400° C. to about 1000° C., depositing another layer of low deposition temperature gap-filled dielectric, and curing after deposition. Embodiments include separately depositing and separately curing multiple layers.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: February 8, 2011
    Assignee: Advanced Micro Devices, Inc. and Spansion LLC
    Inventors: Alexander Nickel, Lu You, Hirokazu Tokuno, Minh Tran, Minh Van Ngo, Hieu Pham, Erik Wilson, Robert Huertas
  • Publication number: 20100009536
    Abstract: A method utilizing a multilayer anti-reflective coating layer structure can achieve low reflectivity at high numerical apertures. The multilayer anti-reflective coating structure can be utilized as a hard mask forming various integrated circuit structures. A multilayer anti-reflective coating structure can be utilized to form gate stacks comprised of polysilicon and a dielectric layer. A photoresist is applied above the multilayer anti-reflective coating which can include silicon oxynitride (SiON) and silicon rich nitride (SiRN).
    Type: Application
    Filed: May 19, 2009
    Publication date: January 14, 2010
    Inventors: Kouros Ghandehari, Anna M. Minvielle, Marina V. Plat, Hirokazu Tokuno
  • Patent number: 7538026
    Abstract: A method utilizing a multilayer anti-reflective coating layer structure can achieve low reflectivity at high numerical apertures. The multilayer anti-reflective coating structure can be utilized as a hard mask forming various integrated circuit structures. A multilayer anti-reflective coating structure can be utilized to form gate stacks comprised of polysilicon and a dielectric layer. A photoresist is applied above the multilayer anti-reflective coating which can include silicon oxynitride (SiON) and silicon rich nitride (SiRN).
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: May 26, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kouros Ghandehari, Anna M. Minvielle, Marina V. Plat, Hirokazu Tokuno
  • Patent number: 7534732
    Abstract: Cu interconnects are formed with composite capping layers for reduced electromigration, improved adhesion between Cu and the capping layer, and reduced charge loss in associated non-volatile transistors. Embodiments include depositing a first relatively thin silicon nitride layer having a relatively high concentration of Si—H bonds on the upper surface of a layer of Cu for improved adhesion and reduced electromigration, and depositing a second relatively thick silicon nitride layer having a relatively low concentration of Si—H bonds on the first silicon nitride layer for reduced charge loss.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: May 19, 2009
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Erik Wilson, Hieu Pham, Robert Huertas, Lu You, Hirokazu Tokuno, Alexander Nickel, Minh Tran
  • Publication number: 20080108193
    Abstract: Data retention in flash memory devices, such as mirrorbit devices, is improved by reducing the generation and/or diffusion of hydrogen ions during back end processing, such as annealing inlaid Cu. Embodiments include annealing inlaid Cu in an N2 atmosphere containing low H2 or no H2, and at temperatures less than 200° C., e.g., 100° C. to 150° C.
    Type: Application
    Filed: November 6, 2006
    Publication date: May 8, 2008
    Inventors: Lu You, Alexander Nickel, Minh Q. Tran, Minh-Van Ngo, Hieu Pham, Erik Wilson, Hirokazu Tokuno, Amir Hossein Jafarpour, Inkuk Kang, Robert Huertas
  • Publication number: 20080096364
    Abstract: Gap filling between features which are closely spaced is significantly improved by initially depositing a thin conformal layer followed by depositing a layer of gap filling dielectric material. Embodiments include depositing a thin conformal layer of silicon nitride or silicon oxide, as by atomic layer deposition or pulsed layer deposition, into the gap between adjacent gate electrode structures such that it flows into undercut regions of dielectric spacers on side surfaces of the gate electrode structures, and then depositing a layer of BPSG or P-HDP oxide on the thin conformal layer into the gap. Embodiments further include depositing the layers at a temperature less than 430° C., as by depositing a P-HDP oxide after depositing the conformal liner when the gate electrode structures include a layer of nickel silicide.
    Type: Application
    Filed: October 18, 2006
    Publication date: April 24, 2008
    Inventors: Erik Wilson, Minh-Van Ngo, Hieu Pham, Robert Huertas, Lu You, Hirokazu Tokuno, Alexander Nickel, Minh Tran
  • Patent number: 7341956
    Abstract: A method includes forming a group of disposable hard mask structures on a semiconductor device that includes a group of memory cells. The method further includes using the disposable hard mask structures to precisely control a junction profile of the memory cells.
    Type: Grant
    Filed: April 7, 2005
    Date of Patent: March 11, 2008
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Hirokazu Tokuno, Minh-Van Ngo, Angela T. Hui, Cinti Xiaohua Chen
  • Patent number: 7307027
    Abstract: A method of forming a dielectric between memory cells in a device includes forming multiple memory cells, where a gap is formed between each of the multiple memory cells. The method further includes performing a high density plasma deposition (HDP) process to fill at least a portion of the gap between each of the multiple memory cells with a dielectric material.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: December 11, 2007
    Assignees: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Minh Van Ngo, Alexander Nickel, Hieu Pham, Jean Yang, Hirokazu Tokuno, Weidong Qian
  • Patent number: 7238571
    Abstract: A memory device may include a number of memory cells, a first interlayer dielectric formed over the memory cells and at least one metal layer formed over the interlayer dielectric. A dielectric layer may be formed over the metal layer. The dielectric layer may represent a cap layer formed at or near an upper surface of the memory device and may be deposited at a relatively low temperature.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: July 3, 2007
    Assignees: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Hirokazu Tokuno, Wenmei Li, Ning Cheng, Minh Van Ngo, Angela T. Hui, Cinti X. Chen
  • Patent number: 7220643
    Abstract: A method for forming a memory device is provided. A memory cell stack is formed over a substrate. The memory cell stack includes a first layer formed over the substrate and a second layer formed over the first layer. A dielectric layer is formed over the first and second layers and the substrate. The dielectric layer is etched to expose at least an upper surface of the memory cell stack. The second layer is etched to recess the second layer with respect to an upper surface of the dielectric layer. A silicide region is formed on the second layer in the memory cell stack, where the silicide region in each memory cell stack is bounded by the dielectric layer extending above the upper surface of the memory cell stack.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: May 22, 2007
    Assignee: Spansion LLC
    Inventors: Hajime Wada, Jaeyong Park, Hirokazu Tokuno, Rinji Sugino
  • Publication number: 20070093070
    Abstract: A method includes forming a layer of silicon oxynitride (SiON), silicon rich nitride (SiRN) or silicon nitride (Si3N4) over a layer of semiconducting material. The method further includes forming a first layer of anti-reflective material over the layer of SiON, SiRN or Si3N4 and forming a second layer of anti-reflective material over the first layer. The method also includes using the first layer, second layer and layer of SiON, SiRN or Si3N4 as a mask when etching a pattern in the layer of semiconducting material.
    Type: Application
    Filed: October 24, 2005
    Publication date: April 26, 2007
    Inventors: Kouros Ghandehari, Hirokazu Tokuno, David Matsumoto, Christopher Raeder, Christopher Foster, Weidong Qian, Minh Ngo