Patents by Inventor Mohamed Shaker Sarwary

Mohamed Shaker Sarwary has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 10599800
    Abstract: Formal verification techniques are used to extract valid clock modes from a hardware description of the clock network. In one aspect, the clock network includes primary clocks and configuration signals as inputs, and also includes derived clocks within the clock network. The derived clocks are configurable for different clock modes according to the values of the configuration signals. A parametric liveness property checking is applied to the derived clocks, where the configuration signals are parameters for the parametric liveness property checking. The parametric liveness property checking infers which values of the configuration signals result in valid clock modes for the derived clocks.
    Type: Grant
    Filed: July 27, 2018
    Date of Patent: March 24, 2020
    Assignee: Synopsys, Inc.
    Inventors: Mohamed Shaker Sarwary, Hans-Joerg Peter, Guillaume Plassan, Barsneya Chakrabarti, Mohammad Homayoun Movahed-Ezazi
  • Patent number: 10387605
    Abstract: A system and method for managing and composing verification engines and simultaneously applying such compositions to verify properties with design constraints allocates computing resources to verification engines based upon properties to be checked and optionally a user-specified budget. The verification engines are run in order to verify a received register transfer level (RTL) design description of a circuit according to user-specified assertions and constraints received by the system. The particular verification engines to be run are selected from a database of such engines and a run order is designated in sequential, parallel and distributed flows.
    Type: Grant
    Filed: July 23, 2015
    Date of Patent: August 20, 2019
    Assignee: Synopsys, Inc.
    Inventors: Maher Mneimneh, Scott Cotton, Mohamed Shaker Sarwary, Fahim Rahim, Sudeep Mondal, Paras Mal Jain
  • Patent number: 10289773
    Abstract: Information from a circuit design's unified power format (UPF) description is utilized to automate the management of reset domain crossings (RDCs). The UPF description is utilized to identify signals that generate both RDC and power domain crossings (PDCs), thereby allowing a circuit designer to efficiently utilize a common (shared) isolation circuit that functions to manage both the RDC (i.e., during reset functions) and the PDC (i.e., during power management functions). A modified UPF description is introduced that facilitates automated management of RDC issues by treating the reset domains as pseudo-power domains, and utilizing UPF analysis and verification tools to automatically generate both shared and non-shared resources for both RDC and PDC issues.
    Type: Grant
    Filed: June 26, 2017
    Date of Patent: May 14, 2019
    Assignee: Synopsys, Inc.
    Inventors: Deep Shah, Namit Gupta, Mohamed Shaker Sarwary
  • Publication number: 20190034571
    Abstract: Formal verification techniques are used to extract valid clock modes from a hardware description of the clock network. In one aspect, the clock network includes primary clocks and configuration signals as inputs, and also includes derived clocks within the clock network. The derived clocks are configurable for different clock modes according to the values of the configuration signals. A parametric liveness property checking is applied to the derived clocks, where the configuration signals are parameters for the parametric liveness property checking. The parametric liveness property checking infers which values of the configuration signals result in valid clock modes for the derived clocks.
    Type: Application
    Filed: July 27, 2018
    Publication date: January 31, 2019
    Inventors: Mohamed Shaker Sarwary, Hans-Joerg Peter, Guillaume Plassan, Barsneya Chakrabarti, Mohammad Homayoun Movahed-Ezazi
  • Publication number: 20180004876
    Abstract: Information from a circuit design's unified power format (UPF) description is utilized to automate the management of reset domain crossings (RDCs). The UPF description is utilized to identify signals that generate both RDC and power domain crossings (PDCs), thereby allowing a circuit designer to efficiently utilize a common (shared) isolation circuit that functions to manage both the RDC (i.e., during reset functions) and the PDC (i.e., during power management functions). A modified UPF description is introduced that facilitates automated management of RDC issues by treating the reset domains as pseudo-power domains, and utilizing UPF analysis and verification tools to automatically generate both shared and non-shared resources for both RDC and PDC issues.
    Type: Application
    Filed: June 26, 2017
    Publication date: January 4, 2018
    Inventors: Deep Shah, Namit Gupta, Mohamed Shaker Sarwary
  • Patent number: 9721057
    Abstract: A system and method for netlist clock domain crossing verification leverages RTL clock domain crossing (CDC) verification data and results. The netlist clock domain crossing verification system (NCDC) migrates RTL-level constraints and waivers to the netlist design so that the user does not have to re-enter them. The NCDC checks the netlist and generates a report that compares RTL-level CDC checking results to the netlist-level CDC checking results to make it easy to see new issues. The NCDC receives and stores netlist corrections from user input or automatically corrects certain CDC violations, in the netlist.
    Type: Grant
    Filed: July 2, 2015
    Date of Patent: August 1, 2017
    Assignee: Synopsys, Inc.
    Inventors: Malay Ganai, Mohamed Shaker Sarwary, Maher Mneimneh, Paras Mal Jain, Mohammad Homayoun Movahed-Ezazi, Pronay Kumar Biswas, Nishant Gupta
  • Patent number: 9721058
    Abstract: A system and method use reactive initialization to facilitate formal verification of an electronic logic design. The system verifies that a part of the logic design correctly transitions through a sequence of states by automatically assigning an initial state value. The system interacts with a correction-unit to provide meaningful feedback of verification failures, making it possible for the correction-unit to correct the failures or add new constraints that allow the verification to complete. Assigning an initial state simplifies the verification of the validity of the remaining states in the sequence, thus making it more likely to reach a conclusive result and consuming less computing resources.
    Type: Grant
    Filed: July 8, 2015
    Date of Patent: August 1, 2017
    Assignee: Synopsys, Inc.
    Inventors: Mohamed Shaker Sarwary, Hans-Jorg Peter, Barsneya Chakrabarti, Fahim Rahim, Mohammad Homayoun Movahed-Ezazi
  • Publication number: 20170024508
    Abstract: A system and method for managing and composing verification engines and simultaneously applying such compositions to verify properties with design constraints allocates computing resources to verification engines based upon properties to be checked and optionally a user-specified budget. The verification engines are run in order to verify a received register transfer level (RTL) design description of a circuit according to user-specified assertions and constraints received by the system. The particular verification engines to be run are selected from a database of such engines and a run order is designated in sequential, parallel and distributed flows.
    Type: Application
    Filed: July 23, 2015
    Publication date: January 26, 2017
    Inventors: Maher Mneimneh, Scott Cotton, Mohamed Shaker Sarwary, Fahim Rahim, Sudeep Mondal, Paras Mal Jain
  • Publication number: 20160342727
    Abstract: In a method of checking an integrated circuit design prior to running a simulation, a shoot-through RTL Checker reads RTL design files, uses a simulator delta cycle definitions to compute clock delta delays, and helps to correct and report any conditions that are expected will cause the simulation to generate incorrect results, in particular shoot-through conditions at circuit memory elements such as source and destination flip-flops or registers.
    Type: Application
    Filed: May 19, 2015
    Publication date: November 24, 2016
    Applicant: Synopsys, Inc.
    Inventors: Mohamed Shaker Sarwary, Paras Mal Jain, Anshu Malani
  • Publication number: 20160300009
    Abstract: A system and method use reactive initialization to facilitate formal verification of an electronic logic design. The system verifies that a part of the logic design correctly transitions through a sequence of states by automatically assigning an initial state value. The system interacts with a correction-unit to provide meaningful feedback of verification failures, making it possible for the correction-unit to correct the failures or add new constraints that allow the verification to complete. Assigning an initial state simplifies the verification of the validity of the remaining states in the sequence, thus making it more likely to reach a conclusive result and consuming less computing resources.
    Type: Application
    Filed: July 8, 2015
    Publication date: October 13, 2016
    Applicant: Synopsys, Inc.
    Inventors: Mohamed Shaker Sarwary, Hans-Jorg Peter, Barsneya Chakrabarti, Fahim Rahim, Mohammad Homayoun Movahed-Ezazi
  • Publication number: 20160259879
    Abstract: A system and method for netlist clock domain crossing verification leverages RTL clock domain crossing (CDC) verification data and results. The netlist clock domain crossing verification system (NCDC) migrates RTL-level constraints and waivers to the netlist design so that the user does not have to re-enter them. The NCDC checks the netlist and generates a report that compares RTL-level CDC checking results to the netlist-level CDC checking results to make it easy to see new issues. The NCDC receives and stores netlist corrections from user input or automatically corrects certain CDC violations, in the netlist.
    Type: Application
    Filed: July 2, 2015
    Publication date: September 8, 2016
    Applicant: Synopsys, Inc.
    Inventors: Malay Ganai, Mohamed Shaker Sarwary, Maher Mneimneh, Paras Mal Jain, Mohammad Homayoun Movahed-Ezazi, Pronay Kumar Biswas, Nishant Gupta
  • Patent number: 9208272
    Abstract: Timing Constraints are crucial to meet timing requirements of an Integrated Circuit (IC). Timing exceptions are specified so that certain paths of the design of the IC are not timed as they are not relevant for the speed of the IC. If a path is specified as an exception but it is indeed a timing-relevant path then the design may functionally fail due to timing violations ignored by the timing analysis tools. It is therefore extremely important to ensure that all timing exceptions are correctly specified. The Hybrid Timing Exceptions Verification uses static verification as well as dynamic verification to effectively verify correctness of such timing exceptions. The solution pin-points the errors in the exceptions specification with very low number of false errors that would require significant designer inputs and time to manually waive them.
    Type: Grant
    Filed: October 7, 2013
    Date of Patent: December 8, 2015
    Assignee: Synopsys, Inc.
    Inventor: Mohamed Shaker Sarwary
  • Publication number: 20150234973
    Abstract: A system, such as a computer aided design (CAD) system, is configured to abstract at least a portion of an integrated circuit (IC) design provided thereto. The system selects two signals of the IC and determines the respective sub-circuits ending at each of the signals, excluding the other sub-circuit when two sub-circuits intersect. It then identifies an intersection of the two sub-circuits and therefore establishes an abstraction therefrom. The abstraction replaces the circuit for verification purposes of the IC design. The process can repeat as may be necessary or until no two signals have sub-circuits that intersect. The process described for two signals is equally applicable to a plurality of signals for which the intersection is defined as the intersection of all the sub-circuits defined by the plurality signals. The abstraction allows for effective verification of portions of ICs as may be necessary.
    Type: Application
    Filed: February 14, 2014
    Publication date: August 20, 2015
    Inventors: Mohamed Shaker Sarwary, Mohammed H. Movahed-Ezazi, Barsneya Chakrabarti, Manish Goel, Chandan Kumar
  • Patent number: 8984457
    Abstract: A method of hybrid clock domain crossing (CDC) verification includes receiving a design or an integrated circuit (IC) design constraints. Static CDC verification is performed, including structural and functional verification. The result is checked and explicit or implicit assumptions are made to signoff verification. Incomplete formal analysis results are discarded after review. Assertions and monitors are generated by this process to capture the assumptions and check partially covered properties by formal analysis. A dynamic simulation is run using a testbench, the generated assertions and the monitors. The static verification and dynamic verification processes may be repeated until a satisfactory coverage is obtained. A system, such as a computer aided design (CAD) system, is configured to perform CDC verification of the IC design. The system may generate assertions and monitors to then run a simulation and determine coverage.
    Type: Grant
    Filed: April 16, 2013
    Date of Patent: March 17, 2015
    Assignee: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Maher Mneimneh, Mohammad H. Movahed-Ezazi
  • Publication number: 20140282322
    Abstract: A system and method identify potentially static and/or quasi-static signals within an integrated circuit (IC), or portion thereof. Static and quasi-static signals may be identified in a design description of the IC by any one or more of: (1) a fan-out size exceeding some threshold, (2) a toggle frequency in a simulation trace that is below some threshold, and (3) a signal name that appears in a list accessed from the memory. Identification of static and quasi-static signals is performed, typically, as part of a verification process in order to flag cases where the verification system would otherwise indicate an error (e.g., at a clock domain crossing). Identifying a signal of the IC as being static or quasi-static improves the quality of results of verification and makes it easier for a prospective user to concentrate on actual rather than spurious issues reported during verification.
    Type: Application
    Filed: April 29, 2013
    Publication date: September 18, 2014
    Applicant: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Maher Mneimneh, Paras Mal Jain, Mohammad H. Movahed-Ezazi, Jean P. Binois
  • Publication number: 20140282321
    Abstract: A method of hybrid clock domain crossing (CDC) verification includes receiving a design or an integrated circuit (IC) design constraints. Static CDC verification is performed, including structural and functional verification. The result is checked and explicit or implicit assumptions are made to signoff verification. Incomplete formal analysis results are discarded after review. Assertions and monitors are generated by this process to capture the assumptions and check partially covered properties by formal analysis. A dynamic simulation is run using a testbench, the generated assertions and the monitors. The static verification and dynamic verification processes may be repeated until a satisfactory coverage is obtained. A system, such as a computer aided design (CAD) system, is configured to perform CDC verification of the IC design. The system may generate assertions and monitors to then run a simulation and determine coverage.
    Type: Application
    Filed: April 16, 2013
    Publication date: September 18, 2014
    Applicant: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Maher Mneimneh, Mohammad H. Movahed-Ezazi
  • Patent number: 8656328
    Abstract: A system, such as a computer aided design (CAD) system, is configured to abstract at least a portion of an integrated circuit (IC) design provided thereto. The system selects two signals of the IC and determines the respective sub-circuits ending at each of the signals, excluding the other sub-circuit when two sub-circuits intersect. It then identifies an intersection of the two sub-circuits and therefore establishes an abstraction therefrom. The abstraction replaces the circuit for verification purposes of the IC design. The process can repeat as may be necessary or until no two signals have sub-circuits that intersect. The process described for two signals is equally applicable to a plurality of signals for which the intersection is defined as the intersection of all the sub-circuits defined by the plurality signals. The abstraction allows for effective verification of portions of ICs as may be necessary.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: February 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Mohammed Movahed-Ezazi, Barsneya Chakrabarti, Manish Gupta, Chandan Kumar
  • Publication number: 20140040841
    Abstract: Timing Constraints are crucial to meet timing requirements of an Integrated Circuit (IC). Timing exceptions are specified so that certain paths of the design of the IC are not timed as they are not relevant for the speed of the IC. If a path is specified as an exception but it is indeed a timing-relevant path then the design may functionally fail due to timing violations ignored by the timing analysis tools. It is therefore extremely important to ensure that all timing exceptions are correctly specified. The Hybrid Timing Exceptions Verification uses static verification as well as dynamic verification to effectively verify correctness of such timing exceptions. The solution pin-points the errors in the exceptions specification with very low number of false errors that would require significant designer inputs and time to manually waive them.
    Type: Application
    Filed: October 7, 2013
    Publication date: February 6, 2014
    Applicant: ATRENTA, INC.
    Inventor: Mohamed Shaker SARWARY
  • Patent number: 8607173
    Abstract: Clock-domain crossing (CDC) verification for system on chip (SoC) integrated circuits (IC) can be time consuming and complex, especially as the size of the SoC and the complexity of the modules of which it comprises increase. A bottom-up verification process includes the replacement of a CDC verified module by an abstracted model of the module with constraints defined on the boundaries of the module. Performing the process in a hierarchic manner from bottom upwards allows for faster verification of modules higher in the hierarchy as at least portions thereof are replaced with the abstracted modules.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: December 10, 2013
    Assignee: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Maher Mneimneh, Paras Mal Jain, Deepak Ahuja, Mohammad Homayoun Movahed-Ezazi
  • Patent number: 8560988
    Abstract: Timing Constraints are crucial to meet timing requirements of an Integrated Circuit (IC). Timing exceptions are specified so that certain paths of the design of the IC are not timed as they are not relevant for the speed of the IC. If a path is specified as an exception but it is indeed a timing-relevant path then the design may functionally fail due to timing violations ignored by the timing analysis tools. It is therefore extremely important to ensure that all timing exceptions are correctly specified. The Hybrid Timing Exceptions Verification uses static verification as well as dynamic verification to effectively verify correctness of such timing exceptions. The solution pin-points the errors in the exceptions specification with very low number of false errors that would require significant designer inputs and time to manually waive them.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: October 15, 2013
    Assignee: Atrenta, Inc.
    Inventor: Mohamed Shaker Sarwary