Patents by Inventor Roey Shaviv

Roey Shaviv has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11970775
    Abstract: Embodiments of a showerhead are described herein. In some embodiments, a showerhead assembly includes: a first gas delivery portion having a first body, a first inlet, and a plurality of first tubes extending from the first body and defining a first plenum, wherein each tube of the plurality of first tubes includes a plurality of first holes; and a second gas delivery portion having a second body, a second inlet, and a plurality of second tubes extending from the second body and defining a second plenum fluidly independent from the first plenum, wherein each tube of the plurality of second tubes includes a plurality of second holes, and wherein the plurality of first tubes are disposed in an alternating pattern with the plurality of second tubes across a width of the showerhead assembly and a heat sink disposed between the plurality of first tubes and the plurality of second tubes.
    Type: Grant
    Filed: August 6, 2019
    Date of Patent: April 30, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Prashanth Kothnur, Satish Radhakrishnan, Alexander Lerner, Sergei Klimovich, Roey Shaviv
  • Patent number: 11948885
    Abstract: Methods and apparatus for creating a dual metal interconnect on a substrate. In some embodiments, a first liner of a first nitride material is deposited into at least one 1X feature and at least one wider than 1X feature, the first liner has a thickness of less than or equal to approximately 12 angstroms; a second liner of a first metal material is deposited into the at least one 1X feature and at least one wider than 1X feature; the first metal material is reflowed such that the at least one 1X feature is filled with the first metal material and the at least one wider than 1X feature remains unfilled with the first metal material; a second metal material is deposited on the first metal material, and the second metal material is reflowed such that the at least one wider than 1X feature is filled with the second metal material.
    Type: Grant
    Filed: June 24, 2021
    Date of Patent: April 2, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Suketu A. Parikh, Rong Tao, Roey Shaviv, Joung Joo Lee, Seshadri Ganguli, Shirish Pethe, David Gage, Jianshe Tang, Michael A Stolfi
  • Patent number: 11834743
    Abstract: Apparatus for supplying vaporized reactants to a reaction chamber are described herein. In some embodiments, a showerhead assembly for depositing multiple materials on a substrate includes a plurality of gas delivery portions, each gas delivery portion having an inlet, a wedge shaped body that defines a plenum, and a plurality of openings disposed on a bottom surface of the gas delivery portion, wherein each of the plenums are fluidly isolated from each other.
    Type: Grant
    Filed: September 13, 2019
    Date of Patent: December 5, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Alexander Lerner, Prashanth Kothnur, Roey Shaviv, Satish Radhakrishnan
  • Patent number: 11692261
    Abstract: One or more embodiments described herein generally relate to methods and systems for forming films on substrates in semiconductor processes. In embodiments described herein, process chamber is provided that includes a lid plate having a plurality of cooling channels formed therein, a pedestal, the pedestal having a plurality of cooling channels formed therein, and a showerhead, wherein the showerhead comprises a plurality of segments and each segment is at least partially surrounded by a shield.
    Type: Grant
    Filed: July 8, 2020
    Date of Patent: July 4, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Alexander N. Lerner, Roey Shaviv, Satish Radhakrishnan
  • Patent number: 11637004
    Abstract: An alignment module for housing and cleaning masks. The alignment module comprises a mask stocker, a cleaning chamber, an alignment chamber, an alignment stage a transfer robot. The mask stocker is configured to house a mask cassette configured to store a plurality of masks. The cleaning chamber is configured to clean the plurality of masks by providing one or more cleaning gases into a chamber after a mask is inserted into the cleaning chamber. The alignment stage is configured to support a carrier and a substrate. The transfer robot is configured to transfer a mask from one or more of the alignment stage and the mask stocker to the cleaning chamber.
    Type: Grant
    Filed: April 14, 2020
    Date of Patent: April 25, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Alexander N. Lerner, Michael P. Karazim, Andrew J. Constant, Jeffrey A. Brodine, Kim Ramkumar Vellore, Kevin Moraes, Roey Shaviv
  • Publication number: 20230092987
    Abstract: Embodiments of apparatus for supplying vaporized reactants to a reaction chamber are described herein. In some embodiments, a showerhead assembly for depositing multiple materials on a substrate includes a plurality of gas delivery portions, each gas delivery portion having an inlet, a wedge shaped body that defines a plenum, and a plurality of openings disposed on a bottom surface of the gas delivery portion, wherein each of the plenums are fluidly isolated from each other.
    Type: Application
    Filed: December 1, 2022
    Publication date: March 23, 2023
    Inventors: ALEXANDER LERNER, PRASHANTH KOTHNUR, ROEY SHAVIV, SATISH RADHAKRISHNAN
  • Publication number: 20230042777
    Abstract: One or more embodiments described herein generally relate to methods and systems for forming films on substrates in semiconductor processes. In embodiments described herein, a process system includes different materials each contained in separate ampoules. Each material is flowed into a separate portion of a showerhead contained within a process chamber via a heated gas line. From the showerhead, each material is flowed on to a substrate that sits on the surface of a rotating pedestal. Controlling the mass flow rate out of the showerhead and the rotation rate of the pedestal helps result in films with desirable material domain sizes to be deposited on the substrate.
    Type: Application
    Filed: October 24, 2022
    Publication date: February 9, 2023
    Inventors: Alexander N. LERNER, Roey SHAVIV, Prashanth KOTHNUR, Satish RADHAKRISHNAN, Xiaozhou CHE
  • Patent number: 11538706
    Abstract: An alignment module for positioning a mask on a substrate comprises a mask stocker, an alignment stage, and a transfer robot. The mask stocker houses a mask cassette that stores a plurality of masks. The alignment stage is configured to support a carrier and a substrate. The transfer robot is configured to transfer one of the one or more masks from the mask stocker to the alignment stage and position the mask over the substrate. The alignment module may be part of an integrated platform having one or more transfer chambers, a factory interface having a substrate carrier chamber and one or more processing chambers. A carrier may be coupled to a substrate within the substrate carrier chamber and moved between the processing chambers to generate a semiconductor device.
    Type: Grant
    Filed: April 14, 2020
    Date of Patent: December 27, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Alexander N. Lerner, Michael P. Karazim, Andrew J. Constant, Jeffrey A. Brodine, Kim Ramkumar Vellore, Kevin Moraes, Roey Shaviv
  • Patent number: 11505863
    Abstract: Methods and systems for forming films on substrates in semiconductor processes are disclosed. The method includes providing different materials each contained in separate ampoules. Material is flowed from each ampoule into a separate portion of a showerhead contained within a process chamber via a heated gas line. From the showerhead, each material is flowed on to a substrate that sits on the surface of a rotating pedestal. Controlling the mass flow rate out of the showerhead and the rotation rate of the pedestal helps result in films with desirable material domain sizes to be deposited on the substrate.
    Type: Grant
    Filed: April 21, 2020
    Date of Patent: November 22, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Alexander N. Lerner, Roey Shaviv, Prashanth Kothnur, Satish Radhakrishnan, Xiaozhou Che
  • Publication number: 20220351988
    Abstract: Methods and apparatus for controlling a flow of process material to a deposition chamber.
    Type: Application
    Filed: July 18, 2022
    Publication date: November 3, 2022
    Inventors: ALEXANDER LERNER, ROEY SHAVIV, PHILLIP STOUT, JOSEPH M. RANISH, PRASHANTH KOTHNUR, SATISH RADHAKRISHNAN
  • Patent number: 11414740
    Abstract: Embodiments of the present disclosure generally relate to a processing system for forming one or more layers of a photodiode. In one embodiment, the processing system includes a transfer chamber, a plurality of processing chambers, and a controller configured to cause a process to be performed in the processing system. The process includes performing a pre-clean process on a substrate, aligning and placing a first mask on the substrate, depositing a first layer on the substrate, and depositing a second layer on the substrate. The processing system can form layers of a photodiode in a low defect, cost effective, and high utilization manner.
    Type: Grant
    Filed: May 1, 2020
    Date of Patent: August 16, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Alexander N. Lerner, Roey Shaviv, Michael P. Karazim, Kevin Vincent Moraes, Steven V. Sansoni, Andrew J. Constant, Jeffrey Allen Brodine, Kim Ramkumar Vellore, Amikam Sade, Niranjan Kumar
  • Patent number: 11393703
    Abstract: Methods and apparatus for controlling a flow of process material to a deposition chamber.
    Type: Grant
    Filed: June 16, 2019
    Date of Patent: July 19, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Alexander Lerner, Roey Shaviv, Phillip Stout, Joseph M Ranish, Prashanth Kothnur, Satish Radhakrishnan
  • Publication number: 20220108917
    Abstract: Provided are methods of forming vias with decreased resistance by selectively depositing a barrier layer on an insulating layer and not on a metallic surface. Some embodiments of the disclosure utilize a planar hydrocarbon to form a blocking layer on metallic surfaces. Deposition is performed to selectively deposit on the unblocked insulating surfaces.
    Type: Application
    Filed: September 28, 2021
    Publication date: April 7, 2022
    Applicant: Applied Materials, Inc.
    Inventors: Roey Shaviv, Suketu Arun Parikh, Feng Chen, Lu Chen
  • Publication number: 20210320064
    Abstract: Methods and apparatus for creating a dual metal interconnect on a substrate. In some embodiments, a first liner of a first nitride material is deposited into at least one 1X feature and at least one wider than 1X feature, the first liner has a thickness of less than or equal to approximately 12 angstroms; a second liner of a first metal material is deposited into the at least one 1X feature and at least one wider than 1X feature; the first metal material is reflowed such that the at least one 1X feature is filled with the first metal material and the at least one wider than 1X feature remains unfilled with the first metal material; a second metal material is deposited on the first metal material, and the second metal material is reflowed such that the at least one wider than 1X feature is filled with the second metal material.
    Type: Application
    Filed: June 24, 2021
    Publication date: October 14, 2021
    Inventors: SUKETU A. PARIKH, RONG TAO, ROEY SHAVIV, JOUNG JOO LEE, SESHADRI GANGULI, SHIRISH PETHE, DAVID GAGE, JIANSHE TANG, MICHAEL A STOLFI
  • Patent number: 11118278
    Abstract: Implementations of the disclosure may include methods of electroplating features formed on a semiconductor device, such as the trenches and vias formed by single or dual Damascene processes using a cobalt plating bath. The cobalt electroplating bath may contain “additive packages” or “additive systems” that include a combination of additives in certain ratios that facilitate the metal filling of high aspect ratio sub-micrometer features. Implementations of the disclosure provide new cobalt plating bath methods and chemistries and that include alkyl modified imidazoles, imidazolines, and imidazolidines suppressor compounds.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: September 14, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Ismail Emesh, Roey Shaviv, Chris Pabelico
  • Patent number: 11075165
    Abstract: Methods and apparatus for creating a dual metal interconnect on a substrate. In some embodiments, a first liner of a first nitride material is deposited into at least one 1× feature and at least one wider than 1× feature, the first liner has a thickness of less than or equal to approximately 12 angstroms; a second liner of a first metal material is deposited into the at least one 1× feature and at least one wider than 1× feature; the first metal material is reflowed such that the at least one 1× feature is filled with the first metal material and the at least one wider than 1× feature remains unfilled with the first metal material; a second metal material is deposited on the first metal material, and the second metal material is reflowed such that the at least one wider than 1× feature is filled with the second metal material.
    Type: Grant
    Filed: July 19, 2019
    Date of Patent: July 27, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Suketu A Parikh, Rong Tao, Roey Shaviv, Joung Joo Lee, Seshadri Ganguli, Shirish Pethe, David Gage, Jianshe Tang, Michael A Stolfi
  • Patent number: 11056277
    Abstract: Methods and apparatus for a magnetized substrate carrier apparatus are described herein. In some embodiments, a substrate carrier apparatus includes: a carrier plate having a support surface to support a substrate, a mask assembly disposed above the support surface, wherein the mask assembly includes an annular frame and a shadow mask disposed within the annular frame, and wherein the shadow mask includes one or more openings arranged in a predetermined pattern and disposed through the shadow mask, and one or more magnets disposed on or embedded within at least one of the carrier plate and the shadow mask to create a magnetic field above the support surface.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: July 6, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Daniel Lee Diehl, Alexander Lerner, Roey Shaviv
  • Patent number: 11047039
    Abstract: Substrate carrier apparatus having a hard mask are disclosed herein. In some embodiments, a substrate carrier apparatus includes a carrier body having a support surface to support a substrate; and a mask assembly disposed above the support surface. The mask assembly includes an annular frame disposed atop the support surface; and a hard mask coupled to and disposed within the annular frame above the support surface, wherein the hard mask includes one or more openings arranged in a predetermined pattern and disposed through the hard mask, and wherein the hard mask includes a plurality of spacer elements extending from a bottom surface of the hard mask.
    Type: Grant
    Filed: December 26, 2018
    Date of Patent: June 29, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Alexander Lerner, Kim Vellore, Ami Sade, Steven Sansoni, Andrew Constant, Kevin Moraes, Roey Shaviv, Niranjan Kumar, Jeffrey Brodine, Michael Karazim
  • Patent number: 11024537
    Abstract: Methods and apparatus for forming an interconnect, including: depositing a first barrier layer upon a top surface of a via and a top surface of a trench; filling the via with a first metal, wherein the first metal completely fills the via and forms a metal layer within the trench; etching the metal layer within the trench to expose dielectric sidewalls of the trench, a top surface of the via, and a dielectric bottom of the trench; depositing a second barrier layer upon the dielectric sidewalls, top surface of the via, and the dielectric bottom of the trench; and filling the trench with a second metal different than the first metal.
    Type: Grant
    Filed: October 10, 2019
    Date of Patent: June 1, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Roey Shaviv, Ismail Emesh, Xikun Wang
  • Patent number: 10950500
    Abstract: Embodiments of methods and apparatus for filling a feature disposed in a substrate are disclosed herein. In some embodiments, a method for filling a feature disposed in a substrate includes (a) depositing a metal within the feature to a first predetermined thickness in a first process chamber; (b) depositing the metal within the feature to a second predetermined thickness in a second process chamber; (c) etching the metal deposited in (b) to remove an overhang of the metal at a top of the feature in a third process chamber different than the first and second process chambers; and (d) subsequent to (c), filling the feature with the metal in a fourth process chamber different than the first and third process chambers.
    Type: Grant
    Filed: May 4, 2018
    Date of Patent: March 16, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Roey Shaviv, Xikun Wang, Ismail Emesh, Jianxin Lei, Wenting Hou