Patents by Inventor Seungyoon Peter Song

Seungyoon Peter Song has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 6957323
    Abstract: This disclosure describes an operand file, a device that combines the functions of a register file, a reservation station, and a rename buffer into single storage element. The advantage of this mechanism is that it eliminates copying results and operands between the register file, reservation station, and rename buffer, thereby greatly simplifying the design and reducing area and power consumption. Furthermore, it can also be used in multithreaded processors that spawn children threads by copying some or all of the parent thread's registers to each of the children thread's registers.
    Type: Grant
    Filed: November 14, 2001
    Date of Patent: October 18, 2005
    Assignee: Elan Research, Inc.
    Inventor: Seungyoon Peter Song
  • Patent number: 6848025
    Abstract: A caching device using an N-way replacement mechanism is disclosed. The replacement mechanism comprises at least one replacement order list with N positions, with the first-to-replace position at one end and the last-to-replace position at the opposite end, each position containing a way number, N way comparators, a control unit, a replacement order generator, and receiving a hit signal and, in case of a hit, a hit way number. A system and method in accordance with the present invention provides a programmable replacement mechanism applicable to caching devices, such as instruction and data caches and TLBs (translation lookaside buffers) in processors or texture map caches in graphics systems, that use set associative or fully associative organization. A replacement order list is maintained that specifies the order of which the elements in a set are to be selected for replacement.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: January 25, 2005
    Assignee: Elan Research, Inc.
    Inventors: Seungyoon Peter Song, Seungtalk Michael Song
  • Publication number: 20030093652
    Abstract: This disclosure describes an operand file, a device that combines the functions of a register file, a reservation station, and a rename buffer into single storage element. The advantage of this mechanism is that it eliminates copying results and operands between the register file, reservation station, and rename buffer, thereby greatly simplifying the design and reducing area and power consumption. Furthermore, it can also be used in multithreaded processors that spawn children threads by copying some or all of the parent thread's registers to each of the children thread's registers.
    Type: Application
    Filed: November 14, 2001
    Publication date: May 15, 2003
    Inventor: Seungyoon Peter Song
  • Publication number: 20030084247
    Abstract: A caching device using an N-way replacement mechanism is disclosed. The replacement mechanism comprises at least one replacement order list with N positions, with the first-to-replace position at one end and the last-to-replace position at the opposite end, each position containing a way number, N way comparators, a control unit, a replacement order generator, and receiving a hit signal and, in case of a hit, a hit way number. A system and method in accordance with the present invention provides a programmable replacement mechanism applicable to caching devices, such as instruction and data caches and TLBs (translation lookaside buffers) in processors or texture map caches in graphics systems, that use set associative or fully associative organization. A replacement order list is maintained that specifies the order of which the elements in a set are to be selected for replacement.
    Type: Application
    Filed: October 26, 2001
    Publication date: May 1, 2003
    Inventors: Seungyoon Peter Song, Seungtalk Michael Song
  • Patent number: 6094705
    Abstract: A method and system for selective refresh for a memory array is disclosed. The method and system comprises providing a plurality of valid bits, each of the valid bits being associated with a row of the memory device; and detecting when data access is performed within a row of the device. The method and system further comprises setting the associated valid bit, the setting of the associated valid bit providing an indication that the row does not need to be refreshed for the refresh period. By providing the valid bits in the refresh controller and associating them with a row of the memory array then if a cell is written or read at least once a duration equivalent to a refresh period, then the cells do not need to be refreshed. When a DRAM cell is accessed (read or written), its charge is fully restored so that it does not need refresh for a duration equivalent to a refresh interval.
    Type: Grant
    Filed: March 10, 1999
    Date of Patent: July 25, 2000
    Assignee: picoTurbo, Inc.
    Inventor: Seungyoon Peter Song
  • Patent number: 6078941
    Abstract: A modular computational structure includes a pipeline having first and second adder stages. Each adder stage includes a pair of adders which operate in parallel, and outputs ports of the first adder stage are coupled to input ports of the second adder stage. Rounding logic and an accumulator are included in the second stage. By varying the inputs to the first and second stages a variety of complex arithmetic functions suitable for video encoding can be implemented. Examples of the operations include completion of multiply and multiply-and-accumulate operations, averages of two values, averages of four values, and merged difference and absolute value calculation.
    Type: Grant
    Filed: December 10, 1998
    Date of Patent: June 20, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shao-Kun Jiang, Roney S. Wong, Seungyoon Peter-Song
  • Patent number: 6061711
    Abstract: In a multi-tasking computing system environment, one program is halted and context switched out so that a processor may context switch in a subsequent program for execution. Processor state information exists which reflects the state of the program being context switched out. Storage of this processor state information permits successful resumption of the context switched out program. When the context switched out program is subsequently context switched in, the stored processor information is loaded in preparation for successfully resuming the program at the point in which execution was previously halted. Although, large areas of memory can be allocated to processor state information storage, only a portion of this may need to be preserved across a context switch for successfully saving and resuming the context switched out program.
    Type: Grant
    Filed: August 19, 1996
    Date of Patent: May 9, 2000
    Assignee: Samsung Electronics, Inc.
    Inventors: Seungyoon Peter Song, Moataz A. Mohamed, Heonchul Park, Le T. Nguyen, Jerry R. Van Aken, Alessandro Forin, Andrew R. Raffman
  • Patent number: 6041167
    Abstract: A processing system and method of operation are provided. A particular instruction is dispatched to execution circuitry for execution. After dispatching the particular instruction, an execution serialized instruction is dispatched to the execution circuitry prior to finishing execution of the particular instruction.
    Type: Grant
    Filed: July 27, 1995
    Date of Patent: March 21, 2000
    Assignee: International Business Machines Corporation
    Inventor: Seungyoon Peter Song
  • Patent number: 5991531
    Abstract: A N-byte vector processor is provided which can emulate 2N-byte processor operations by executing two N-byte operations sequentially. By using N-byte architecture to process 2N-byte wide data, chip size and costs are reduced. One embodiment allows 64-byte operations to be implemented with a 32-byte vector processor by executing a 32-byte instruction on the first 32-bytes of data and then executing a 32-byte instruction on the second 32-bytes of data. Registers and instructions for 64-byte operation are emulated using two 32-byte registers and instructions, respectively, with some instructions requiring modification to accommodate 64-byte operations between adjacent elements, operations requiring specific element locations, operations shifting elements in and out of registers, and operations specifying addresses exceeding 32 bytes.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: November 23, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seungyoon Peter Song, Heonchul Park
  • Patent number: 5922066
    Abstract: A wide data width processor has an execution unit including an aligner that aligns data for load/store instructions and shifts or rotates data for arithmetic logic instructions. Use of the same circuitry and execution unit for these different types of instructions reduces overall circuit size because alignment circuitry need not be repeated, once in a load/store unit and once in an arithmetic logic unit.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: July 13, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seongrai Cho, Heonchul Park, Seungyoon Peter Song
  • Patent number: 5893930
    Abstract: A method for performing predictive translation of a data address in a computer processing system includes organizing a translation lookaside buffer in a set associative manner having each set associated with multiple entries, wherein the multiple entries store consecutively ordered selections. Further, the method includes selecting a set of entries in the translation lookaside buffer in response to a base operand for the predictive translation. The method also includes comparing each entry in the selected set with an input address for determining whether or not the predictive translation failed. The method further includes the step of adding the base operand with an offset operand to produce the effective address. A system in accordance with the present invention includes effective address generation logic, including a base operand register to hold a base operand, and a translation lookaside buffer, translation lookaside buffer.
    Type: Grant
    Filed: July 12, 1996
    Date of Patent: April 13, 1999
    Assignee: International Business Machines Corporation
    Inventor: Seungyoon Peter Song
  • Patent number: 5881307
    Abstract: A superscalar processor includes an execution unit that executes load/store instructions and an execution unit that executes arithmetic instruction. Execution pipelines for both execution units include a decode stage, a read stage that identify and read source operands for the instructions and an execution stage or stages performed in the execution units. For store instructions, reading store data from a register file is deferred until the store data is required for transfer to a memory system. This allows the store instructions to be decoded simultaneously with earlier instructions that generate the store data. A simple antidependency interlock uses a list of the register numbers identifying registers holding store data for pending store instructions.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: March 9, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Heonchul Park, Seungyoon Peter Song
  • Patent number: 5838984
    Abstract: A vector processor includes two banks of vector registers where each vector register can stored multiple data elements and a control register with a field indicating a default bank. An instruction set for the vector processor includes instructions which use a register number to identify a vector registers in the default bank, uses a register number to identify a double-size vector register including a register from the first bank and a register from the second bank, and instructions which include a bank bit and a register number to access a vector register from either bank.
    Type: Grant
    Filed: August 19, 1996
    Date of Patent: November 17, 1998
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Le Trong Nguyen, Seungyoon Peter Song, Moataz A. Mohamed, Heonchul Park, Roney Sau Don Wong
  • Patent number: 5805877
    Abstract: A data processor (10) has a BTAC (48) storing a number of recently encountered fetch address-target address pairs. A branch unit (20) generates a fetch address that depends upon a condition precedent and a received branch instruction. After executing each branch instruction, the branch unit predicts whether the condition precedent will be met the next time it encounters the same branch instruction. If the predicted value of the condition precedent would cause the branch to be taken, then the branch unit adds the fetch address-target address pair corresponding to the branch instruction to the BTAC. If the predicted value of the condition precedent would cause the branch to be not taken, then the branch unit deletes the fetch address-target address pair corresponding to the branch instruction from the BTAC.
    Type: Grant
    Filed: September 23, 1996
    Date of Patent: September 8, 1998
    Assignee: Motorola, Inc.
    Inventors: Bryan P. Black, Marvin A. Denman, Jr., Seungyoon Peter Song
  • Patent number: 5761723
    Abstract: A data processor (10) has a branch target address cache (48) for storing the target addresses of a number of recently taken branch instructions. Normally, each fetch address is compared to the contents of the branch target address cache. If a hit occurs, then the data processor branches to the cached target address. The data processor also has a dispatch unit (60) that invalidates the data stored in the branch target address cache if and when it determines that the branch target address cache "hit" on an instruction that was not a branch instruction at all, a "phantom branch." The data processor thereby automatically invalidates its branch target address cache data after a context switch.
    Type: Grant
    Filed: April 8, 1996
    Date of Patent: June 2, 1998
    Assignee: Motorola, Inc.
    Inventors: Bryan P. Black, Marvin Denman, Mark A. Kearney, Seungyoon Peter Song
  • Patent number: 5742802
    Abstract: The present invention provides a method and system for using hardware to assist software in emulating the guest instructions. The method and system comprises an emulation assist unit (EAU) which efficiently maps a guest instruction to a unique tag, an index, and an address of the corresponding semantic routine. The index determines where in a cache a plurality of tags are stored. A separate cache within the EAU stores each tag in association with the address the first time the corresponding guest instruction is emulated. Thus, the emulation assist unit also dynamically responds to the set of guest instructions being emulated. The first time a guest instruction is emulated, the EAU determines the address and stores the address in the cache in association with the tag. When the guest instruction is emulated again, the EAU uses the tag to access the stored addresses of the corresponding semantic routine.
    Type: Grant
    Filed: February 16, 1996
    Date of Patent: April 21, 1998
    Assignee: International Business Machines Corporation
    Inventors: Ronald S. Harter, Gary Douglas Huber, Arturo Martin-de-Nicolas, Seungyoon Peter Song
  • Patent number: 5664215
    Abstract: The disclosed data processor (10) dispatches load/store multiple and load/store string instructions to a load/store unit (28) as a sequence of simple load or store instructions. The sequencer unit (18) assigns an entry of a rename buffer (34) to which the load/store unit writes back the data of each simple load instruction. This strategy facilitates early data forwarding for subsequent instructions. Conversely, the sequencer unit supplies a rename buffer tag to the load/store unit if it is not able to supply the operands of a simple store instruction.
    Type: Grant
    Filed: March 27, 1996
    Date of Patent: September 2, 1997
    Assignees: Motorola, Inc., IBM
    Inventors: David P. Burgess, Marvin Denman, Milton M. Hood, Jr., Mark A. Kearney, Lavanya Kling, Graham R. Murphy, Seungyoon Peter Song
  • Patent number: 5644779
    Abstract: A processing system and method of operation are provided. In response to multiple branch instructions, an instruction is processed prior to execution of the branch instructions. In response to execution of any of the branch instructions, the processing of the instruction is cancelled prior to completion of the executed branch instruction.
    Type: Grant
    Filed: April 15, 1994
    Date of Patent: July 1, 1997
    Assignee: International Business Machines Corporation
    Inventor: Seungyoon Peter Song