Patents by Inventor Shogo Mochizuki

Shogo Mochizuki has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11984493
    Abstract: A semiconductor structure comprises a plurality of gate structures alternately stacked with a plurality of channel layers, and a plurality of epitaxial source/drain regions connected to the plurality of channel layers. The plurality of channel layers are connected to the plurality of epitaxial source/drain regions via a plurality of epitaxial extension regions. Respective pairs of adjacent channel layers of the plurality of channel layers are connected to a given one of the plurality of epitaxial source/drain regions via respective ones of the plurality of epitaxial extension regions.
    Type: Grant
    Filed: September 23, 2021
    Date of Patent: May 14, 2024
    Assignee: International Business Machines Corporation
    Inventors: Nicolas Loubet, Shogo Mochizuki, Kirsten Emilie Moselund, Cezar Bogdan Zota
  • Patent number: 11978783
    Abstract: A method of forming a fin field effect device is provided. The method includes forming one or more vertical fins on a substrate and a fin template on each of the vertical fins. The method further includes forming a gate structure on at least one of the vertical fins, and a top spacer layer on the at least one gate structure, wherein at least an upper portion of the at least one of the one or more vertical fins is exposed above the top spacer layer. The method further includes forming a top source/drain layer on the top spacer layer and the exposed upper portion of the at least one vertical fin. The method further includes forming a sacrificial spacer on opposite sides of the fin templates and the top spacer layer, and removing a portion of the top source/drain layer not covered by the sacrificial spacer to form a top source/drain electrically connected to the vertical fins.
    Type: Grant
    Filed: December 29, 2020
    Date of Patent: May 7, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Shogo Mochizuki, Choonghyun Lee, Juntao Li
  • Publication number: 20240145578
    Abstract: Embodiments of the invention include a transistor comprising a gate region and a source/drain region. A first isolation layer is under the gate region. A second isolation layer is separated from the first isolation layer by a third isolation layer.
    Type: Application
    Filed: October 28, 2022
    Publication date: May 2, 2024
    Inventors: Reinaldo Vega, Ruilong Xie, Shogo Mochizuki, Julien Frougier, Ravikumar Ramachandran
  • Publication number: 20240145238
    Abstract: Embodiments of the invention include an isolation layer under a nanosheet stack of a transistor and a graded layer under the isolation layer. The graded layer includes an impurity gradient.
    Type: Application
    Filed: October 28, 2022
    Publication date: May 2, 2024
    Inventors: Reinaldo Vega, Shogo Mochizuki, Ruilong Xie, Julien Frougier, Ravikumar Ramachandran
  • Publication number: 20240128346
    Abstract: A semiconductor structure is provided that includes a pFET located in a pFET device region, the pFET includes a first functional gate structure and a plurality of pFET semiconductor channel material nanosheets, and an nFET located in the nFET device region, the nFET includes a second functional gate structure and a plurality of pFET semiconductor channel material nanosheets. The pFET semiconductor channel material nanosheets can be staggered relative to, or vertically aligned in a horizontal direction with, the nFET semiconductor channel material nanosheets. When staggered, a bottom dielectric isolation structure can be located in both the device regions, and the second functional gate structures has a bottommost surface that extends beneath a topmost surface of the bottom dielectric isolation structure. When horizontally aligned, a vertical dielectric pillar is located between the two device regions.
    Type: Application
    Filed: October 12, 2022
    Publication date: April 18, 2024
    Inventors: Julien Frougier, Andrew M. Greene, Shogo Mochizuki, Ruilong Xie, Liqiao Qin, Gen Tsutsui, Nicolas Jean Loubet, Min Gyu Sung, Chanro Park, Kangguo Cheng, Heng Wu
  • Publication number: 20240120380
    Abstract: Embodiments of present invention provide a semiconductor structure. The semiconductor structure includes a first nanosheet transistor having a first source/drain (S/D) region; and a second nanosheet transistor on top of the first nanosheet transistor, the second nanosheet transistor having a second S/D region, the second S/D region being separated from the first S/D region by a dielectric cap layer, wherein the first S/D region of the first nanosheet transistor has a substantially flat top surface adjacent to the dielectric cap layer and has at least one vertical edge that is substantially aligned with an edge of the dielectric cap layer. A method of manufacturing the semiconductor structure is also provided.
    Type: Application
    Filed: October 10, 2022
    Publication date: April 11, 2024
    Inventors: Chen Zhang, Ruilong Xie, Shogo Mochizuki, Tenko Yamashita
  • Publication number: 20240121933
    Abstract: A semiconductor structure is presented including a bottom field effect transistor (FET) including a plurality of bottom source/drain (S/D) epi regions, a top FET including a plurality of top S/D epi regions, a bonding dielectric layer disposed directly between the bottom FET and the top FET, and a node contact advantageously extending from a bottom S/D epi region of the plurality of bottom S/D epi regions of the bottom FET through the bonding dielectric layer and into the top FET. The bottom FET includes an inverter gate. The top FET electrically connects to back-end-of-line (BEOL) components and the bottom FET electrically connects to a backside power delivery network (BSPDN).
    Type: Application
    Filed: October 5, 2022
    Publication date: April 11, 2024
    Inventors: Gen Tsutsui, Shogo Mochizuki, Ruilong Xie
  • Publication number: 20240113193
    Abstract: A semiconductor structure includes a first source-drain region; a second source-drain region; at least one channel region coupling the first and second source-drain regions; and a gate adjacent the at least one channel region. A bottom dielectric isolation region is located inward of the gate. First and second bottom silicon regions are respectively located inward of the first and second source-drain regions. A back side contact projects through the second bottom silicon region into the second source-drain region.
    Type: Application
    Filed: September 30, 2022
    Publication date: April 4, 2024
    Inventors: Tao Li, Shogo Mochizuki, Kisik Choi, Ruilong Xie
  • Publication number: 20240113192
    Abstract: Embodiments herein include semiconductor structures that may include a semiconductor structure for improving the switching speed of a first transistor is disclosed. The first transistor may include a first source/drain (S/D), a metal gate, a spacer between the first S/D and the metal gate, and a first nanosheet channel. The first nanosheet channel may include: a gate section with silicon-germanium (SiGe) surrounded by the metal gate; and a junction section comprising silicon surrounded by the spacer.
    Type: Application
    Filed: September 29, 2022
    Publication date: April 4, 2024
    Inventors: Shogo Mochizuki, Andrew M. Greene, Gen Tsutsui
  • Publication number: 20240112985
    Abstract: A semiconductor device includes a nanostructure field effect transistor (FET). The FET includes a gate and a first source or drain (S/D) region. A frontside S/D contact may be connected to and extends vertically upward from a top surface of the first S/D region. The FET further includes a second S/D region. The second S/D region extends below a bottom surface of the gate. A backside S/D contact may be connected to and extend vertically downward from a bottom surface of the second S/D region.
    Type: Application
    Filed: October 4, 2022
    Publication date: April 4, 2024
    Inventors: Ruilong Xie, Lawrence A. Clevenger, Brent A. Anderson, Kisik Choi, Su Chen Fan, Shogo Mochizuki, SON NGUYEN
  • Publication number: 20240113176
    Abstract: A semiconductor device includes a field effect transistor (FET). The FET includes a gate and a first source or drain (S/D) region. A frontside S/D contact may be connected to and extend vertically upward from a top surface of the first S/D region. The FET further includes a second S/D region. The second S/D region includes a conduit liner and an inner column internal to the conduit liner that extends below a bottom surface of the wraparound gate. A backside S/D contact may be connected to and extend vertically downward from a bottom surface of the second S/D region.
    Type: Application
    Filed: October 4, 2022
    Publication date: April 4, 2024
    Inventors: Ruilong Xie, Lawrence A. Clevenger, Brent A. Anderson, Kisik Choi, Su Chen Fan, Shogo Mochizuki, SON NGUYEN
  • Publication number: 20240105799
    Abstract: Self-aligned direct backside contacts by an epitaxy everywhere under source/drain region approach are provided. In one aspect, a semiconductor device includes: a field-effect transistor(s) on a backside interlayer dielectric; an epitaxial contact placeholder in the backside interlayer dielectric that directly contacts a first source/drain region of the field-effect transistor(s); and a self-aligned direct backside contact in the backside interlayer dielectric that directly contacts a second source/drain region of the field-effect transistor(s). The epitaxial contact placeholder extends a distance d1 into the backside interlayer dielectric from the first source/drain region, and the self-aligned direct backside contact extends a distance d2 into the backside interlayer dielectric from the second source/drain region, where d2>d1. The field-effect transistor(s) can include a stack of active layers surrounded by a gate, and the first/second source/drain regions on opposite sides thereof.
    Type: Application
    Filed: September 22, 2022
    Publication date: March 28, 2024
    Inventors: Ruilong Xie, Kisik Choi, Muthumanickam Sankarapandian, Shogo Mochizuki
  • Publication number: 20240096947
    Abstract: Embodiments of the present invention are directed to the implantation of composite tunnel field effect transistors (TFETs) in a nanosheet process. In a non-limiting embodiment of the invention, a first source or drain region is formed having a first composition and a first doping type. A second source or drain region is formed having a second composition and a second doping type opposite the first doping type. A first composite channel structure is formed between the first source or drain region and the second source or drain region. The first composite channel structure includes a first nanosheet trimmed to expose extension portions of the first source or drain region and extension portions of the second source or drain region. The first composite channel structure further includes a first channel epitaxy wrapping around the trimmed first nanosheet. The first channel epitaxy is connected laterally to the extension portions.
    Type: Application
    Filed: September 21, 2022
    Publication date: March 21, 2024
    Inventors: Kirsten Emilie Moselund, Nicolas Jean Loubet, Bogdan Cezar Zota, Shogo Mochizuki
  • Publication number: 20240088252
    Abstract: A semiconductor device, such as an integrated circuit, microprocessor, wafer, or the like, includes a first gate all around field effect transistor (GAA FET) and second GAA FET within the same region type (e.g., p-type region or n-type region, etc.) with relatively heterogenous channels within the same region. The first GAA FET includes a plurality of first channels of a first channel material (e.g., SiGex cladded channels). A second GAA FET includes a plurality of second channels of a second channel material (e.g., SiGey cladded channels, Si channels, or the like). The GAA FETs may have different channel structures, such as relatively different channel lengths. The heterogenous channels may provide improved GAA FET device performance by allowing an ability to tune or adjust channel mobility of GAA FETs in similar region types in different locations or when utilized in different applications.
    Type: Application
    Filed: September 8, 2022
    Publication date: March 14, 2024
    Inventors: Andrew M. Greene, Shogo Mochizuki, Julien Frougier, Gen Tsutsui, Liqiao Qin
  • Publication number: 20240079446
    Abstract: Embodiments of the invention include a transistor comprising a gate region and an epitaxial region, the transistor comprising a frontside opposite a backside.
    Type: Application
    Filed: September 2, 2022
    Publication date: March 7, 2024
    Inventors: Ruilong Xie, Shogo Mochizuki, Daniel Charles Edelstein, Lawrence A. Clevenger, Brent A. Anderson, Kisik Choi, Chanro Park, Christian Lavoie, Cornelius Brown Peethala, SON NGUYEN
  • Patent number: 11923438
    Abstract: A semiconductor structure includes a substrate comprising a semiconductor material, and a fin on the substrate. The fin includes a first portion formed from the semiconductor material and a second portion including a channel region. The first portion has a first thickness and the second portion has a second thickness greater than the first thickness. A spacer is disposed on sides of the first portion of the fin.
    Type: Grant
    Filed: September 21, 2021
    Date of Patent: March 5, 2024
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Shogo Mochizuki, Juntao Li, Choonghyun Lee
  • Publication number: 20240014266
    Abstract: A method includes forming a first nanosheet fin extending vertically from a first region of a substrate corresponding to a logic device and forming a second nanosheet fin extending vertically from a second region of the substrate corresponding to an input/output device. The first nanosheet fin includes first semiconductor channel layers vertically stacked over the first region. The second nanosheet fin includes an alternating sequence of semiconductor sacrificial layers and second semiconductor channel layers vertically stacked over the second region. An encapsulation layer is epitaxially grown along sidewalls of the alternating sequence of semiconductor sacrificial layers and second semiconductor channel layers, and an oxide layer is formed in contact with a top surface of an uppermost second semiconductor channel layer of the alternating sequence of semiconductor sacrificial layers and second semiconductor channel layers and in contact with opposite sidewalls of the encapsulation layer.
    Type: Application
    Filed: September 25, 2023
    Publication date: January 11, 2024
    Inventors: Ruqiang Bao, Shogo Mochizuki
  • Publication number: 20240006244
    Abstract: Embodiments herein include semiconductor structures that may include a first field-effect transistor (FET) stacked above a second FET in a non-step nanosheet structure, and a bottom contact electrically connected to a first bottom source/drain (S/D) of the second FET through a portion of a first top S/D of the first FET.
    Type: Application
    Filed: June 29, 2022
    Publication date: January 4, 2024
    Inventors: Shogo Mochizuki, Gen Tsutsui
  • Publication number: 20240006496
    Abstract: A semiconductor structure includes a plurality of semiconductor layers vertically stacked over a semiconductor substrate. Each of the plurality of semiconductor layers defining a channel region of the semiconductor structure. A source/drain region is located on opposite ends of the plurality of semiconductor layers while a metal gate stack surrounds each of the plurality of semiconductor layers. An inner spacer having a concave surface curving inward in a direction towards the source/drain region is located between each of the plurality of semiconductor layers for separating the metal gate stack from the source/drain region.
    Type: Application
    Filed: June 30, 2022
    Publication date: January 4, 2024
    Inventors: Shogo Mochizuki, Juntao Li, Kangguo Cheng
  • Publication number: 20230420457
    Abstract: Embodiments of the invention include a single stack dual channel gate-all-around nanosheet with strained PFET and bottom dielectric isolation NFET. A PFET comprising at least one silicon germanium channel is formed. An NFET comprising at least one silicon channel is formed, the PFET being positioned laterally to the NFET, the at least one silicon channel and the at least one silicon germanium channel being staggered in a vertical direction.
    Type: Application
    Filed: June 23, 2022
    Publication date: December 28, 2023
    Inventors: Julien Frougier, Andrew M. Greene, Shogo Mochizuki, Kangguo Cheng, Ruilong Xie, Heng Wu, Min Gyu Sung, Liqiao Qin, Gen Tsutsui