Patents by Inventor Yang Du

Yang Du has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 10121743
    Abstract: Power distribution networks in a three-dimensional (3D) integrated circuit (IC) (3DIC) are disclosed. In one aspect, a voltage drop within a power distribution network in a 3DIC is reduced to reduce unnecessary power dissipation. In a first aspect, interconnect layers devoted to distribution of power within a given tier of the 3DIC are provided with an increased thickness such that a resistance of such interconnect layers is reduced relative to previously used interconnect layers and also reduced relative to other interconnect layers. Further voltage drop reductions may also be realized by placement of vias used to interconnect different tiers, and particularly, those vias used to interconnect the thickened interconnect layers devoted to the distribution of power. That is, the number, position, and/or arrangement of the vias may be controlled in the 3DIC to reduce the voltage drop.
    Type: Grant
    Filed: March 29, 2017
    Date of Patent: November 6, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Pratyush Kamal, Kambiz Samadi, Jing Xie, Yang Du
  • Publication number: 20180286800
    Abstract: Power distribution networks in a three-dimensional (3D) integrated circuit (IC) (3DIC) are disclosed. In one aspect, a voltage drop within a power distribution network in a 3DIC is reduced to reduce unnecessary power dissipation. In a first aspect, interconnect layers devoted to distribution of power within a given tier of the 3DIC are provided with an increased thickness such that a resistance of such interconnect layers is reduced relative to previously used interconnect layers and also reduced relative to other interconnect layers. Further voltage drop reductions may also be realized by placement of vias used to interconnect different tiers, and particularly, those vias used to interconnect the thickened interconnect layers devoted to the distribution of power. That is, the number, position, and/or arrangement of the vias may be controlled in the 3DIC to reduce the voltage drop.
    Type: Application
    Filed: March 29, 2017
    Publication date: October 4, 2018
    Inventors: Pratyush Kamal, Kambiz Samadi, Jing Xie, Yang Du
  • Publication number: 20180259581
    Abstract: Dynamically controlling voltage provided to three-dimensional (3D) integrated circuits (ICs) (3DICs) to account for process variations measured across interconnected IC tiers of 3DICs are disclosed herein. In one aspect, a 3DIC process variation measurement circuit (PVMC) is provided to measure process variation. The 3DIC PVMC includes stacked logic PVMCs configured to measure process variations of devices across multiple IC tiers and process variations of vias that interconnect multiple IC tiers. The 3DIC PVMC may include IC tier logic PVMCs configured to measure process variations of devices on corresponding IC tiers. These measured process variations can be used to dynamically control supply voltage provided to the 3DIC such that operation of the 3DIC approaches a desired process corner. Adjusting supply voltage using the 3DIC PVMC takes into account interconnected properties of the 3DIC such that the supply voltage is adjusted to cause the 3DIC to operate in the desired process corner.
    Type: Application
    Filed: March 10, 2017
    Publication date: September 13, 2018
    Inventors: Xia Li, Wei-Chuan Chen, Wah Nam Hsu, Yang Du
  • Publication number: 20180260360
    Abstract: Multi-processor core three-dimensional (3D) integrated circuits (ICs) (3DICs) and related methods are disclosed. In aspects disclosed herein, ICs are provided that include a central processing unit (CPU) having multiple processor cores (“cores”) to improve performance. To further improve CPU performance, the multiple cores can also be designed to communicate with each other to offload workloads and/or share resources for parallel processing, but at a communication overhead associated with passing data through interconnects which have an associated latency. To mitigate this communication overhead inefficiency, aspects disclosed herein provide the CPU with its multiple cores in a 3DIC.
    Type: Application
    Filed: March 7, 2017
    Publication date: September 13, 2018
    Inventors: Kambiz Samadi, Amin Ansari, Yang Du
  • Patent number: 10062680
    Abstract: Silicon-on-insulator (SOI) complementary metal oxide semiconductor (CMOS) standard library cell circuits having gate back-bias rail(s) are disclosed. Related systems and methods are also disclosed. In one aspect, a SOI CMOS standard library cell circuit is provided that is comprised of one or more standard library cells. Each standard library cell includes one or more PMOS channel regions and one or more NMOS channel regions. Each standard library cell has one or more gate back-bias rails disposed adjacent to PMOS and NMOS channel regions. The gate back-bias rails are configured to apply bias voltages to corresponding PMOS and NMOS channel regions to adjust threshold voltages of PMOS and NMOS transistors associated with the PMOS and NMOS channel regions, respectively. Voltage biasing can be controlled to adjust timing of an IC using SOI CMOS standard library cell circuits to achieve design timing targets without including timing closure elements that consume additional area.
    Type: Grant
    Filed: May 8, 2014
    Date of Patent: August 28, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Pratyush Kamal, Yang Du
  • Patent number: 10038060
    Abstract: An n-type metal-oxide-semiconductor (NMOS) transistor comprises a graphene channel with a chemically adsorbed nitrogen dioxide (NO2) layer formed thereon. The NMOS transistor may comprise a substrate having a graphene layer formed thereon and a gate stack formed on a portion of the graphene layer disposed in a channel region that further includes a spacer region. The gate stack may comprise the chemically adsorbed NO2 layer formed on the graphene channel, a high-k dielectric formed over the adsorbed NO2 layer, a gate metal formed over the high-k dielectric, and spacer structures formed in the spacer region. The adsorbed NO2 layer formed under the gate and the spacer structures may therefore attract electrons from the graphene channel to turn the graphene-based NMOS transistor off at a gate voltage (Vg) equal to zero, making the graphene-based NMOS transistor suitable for digital logic applications.
    Type: Grant
    Filed: May 19, 2016
    Date of Patent: July 31, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Yong Ju Lee, Yang Du
  • Patent number: 10005631
    Abstract: A lateral positioning device (100) for a sheet element (20, 20?) in a sheet element processing machine; a detector lever (130) articulated relative to a horizontal axis, which performs a descending movement from a high position to a low position; a first end (132) of the detector lever (130) contacts, in a low position of the lever, with an upper face of the sheet element. A second end (133) of the detector lever (130) is fitted with a target (135) that cooperates with a position detector (140) to generate a signal dependent on the thickness of the sheet element (20, 20?) and the number of sheet elements (20, 20?) present at the level of the first end (132) of the detector lever (130).
    Type: Grant
    Filed: October 8, 2015
    Date of Patent: June 26, 2018
    Assignee: BOBST MEX SA
    Inventors: Pierre-Frédéric Collomb, Yang Du, Daniel Hermann, David Guillaume-Gentil
  • Patent number: 9929733
    Abstract: A 3D integrated circuit reduces delay when a signal traverses logical blocks of the integrated circuit. In one instance, the 3D integrated circuit has a first tier and a second tier including one or more first and second logical blocks, respectively. The first logical block(s) include a first primary output logic gate, a first primary input logic gate, a first primary input pin and a first primary output pin. The first primary output pin lies within a perimeter defined by a total area occupied by logic gates of the first logical block(s). The second logical block(s) include a second primary output logic gate, a second primary input logic gate, a second primary input pin and a second primary output pin. The second primary input pin is coupled to the first primary output pin.
    Type: Grant
    Filed: February 21, 2017
    Date of Patent: March 27, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Pratyush Kamal, Kambiz Samadi, Jing Xie, Yang Du
  • Patent number: 9922956
    Abstract: A microelectromechanical system (MEMS) bond release structure is provided for manufacturing of three-dimensional integrated circuit (3D IC) devices with two or more tiers. The MEMS bond release structure includes a MEMS sacrificial release layer which may have a pillar or post structure, or alternatively, a continuous sacrificial layer for bonding and release.
    Type: Grant
    Filed: September 26, 2014
    Date of Patent: March 20, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Je-Hsiung Jeffrey Lan, Wenyue Zhang, Yang Du, Yong Ju Lee, Shiqun Gu, Jing Xie
  • Patent number: 9869713
    Abstract: Through-silicon via (TSV) crack sensors for detecting TSV cracks in three-dimensional (3D) integrated circuits (ICs) (3DICs), and related methods and systems are disclosed. In one aspect, a TSV crack sensor circuit is provided in which doped rings for a plurality of TSVs are interconnected in parallel such that all interconnected TSV doped rings may be tested at the same time by providing a single current into the contacts of the interconnected doped rings. In another aspect, a TSV crack sensor circuit is provided including one or more redundant TSVs. Each doped ring for a corresponding TSV is tested independently, and a defective TSV may be replaced with a spare TSV whose doped ring is not detected to be cracked. This circuit allows for correction of a compromised 3DIC by replacing possibly compromised TSVs with spare TSVs.
    Type: Grant
    Filed: March 5, 2015
    Date of Patent: January 16, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Sung Kyu Lim, Ratibor Radojcic, Yang Du
  • Publication number: 20170338311
    Abstract: An n-type metal-oxide-semiconductor (NMOS) transistor comprises a graphene channel with a chemically adsorbed nitrogen dioxide (NO2) layer formed thereon. The NMOS transistor may comprise a substrate having a graphene layer formed thereon and a gate stack formed on a portion of the graphene layer disposed in a channel region that further includes a spacer region. The gate stack may comprise the chemically adsorbed NO2 layer formed on the graphene channel, a high-k dielectric formed over the adsorbed NO2 layer, a gate metal formed over the high-k dielectric, and spacer structures formed in the spacer region. The adsorbed NO2 layer formed under the gate and the spacer structures may therefore attract electrons from the graphene channel to turn the graphene-based NMOS transistor off at a gate voltage (Vg) equal to zero, making the graphene-based NMOS transistor suitable for digital logic applications.
    Type: Application
    Filed: May 19, 2016
    Publication date: November 23, 2017
    Inventors: Yong Ju LEE, Yang DU
  • Publication number: 20170305695
    Abstract: A lateral positioning device (100) for a sheet element (20, 20?) in a sheet element processing machine; a detector lever (130) articulated relative to a horizontal axis, which performs a descending movement from a high position to a low position; a first end (132) of the detector lever (130) contacts, in a low position of the lever, with an upper face of the sheet element. A second end (133) of the detector lever (130) is fitted with a target (135) that cooperates with a position detector (140) to generate a signal dependent on the thickness of the sheet element (20, 20?) and the number of sheet elements (20, 20?) present at the level of the first end (132) of the detector lever (130).
    Type: Application
    Filed: October 8, 2015
    Publication date: October 26, 2017
    Inventors: Pierre-Frédéric COLLOMB, Yang DU, Daniel HERMANN, David GUILLAUME-GENTIL
  • Patent number: 9773741
    Abstract: An apparatus includes a first component layer. The component layer includes a first semiconductor device. The apparatus further includes a first hydrophilic layer and a first hydrophobic layer. The first hydrophobic layer is positioned between the first component layer and the first hydrophilic layer. The apparatus further includes a first contact extending through the first hydrophobic layer and the first hydrophilic layer.
    Type: Grant
    Filed: August 17, 2016
    Date of Patent: September 26, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Shiqun Gu, Yang Du, William Xia
  • Patent number: 9754923
    Abstract: Power gate placement techniques in three-dimensional (3D) integrated circuits (ICs) (3DICs) are disclosed. Exemplary aspects of the present disclosure contemplate consolidating power gating circuits or cells into a single tier within a 3DIC. Still further, the power gating circuits are consolidated in a tier closest to a voltage source. This closest tier may include a backside metal layer that allows a distance between the voltage source and the power gating circuits to be minimized. By minimizing the distance between the voltage source and the power gating circuits, power loss from routing elements therebetween is minimized. Further, by consolidating the power gating circuits in a single tier, routing distances between the power gating circuits and downstream elements may be minimized and power loss from those routing elements are minimized. Other advantages are likewise realized by placement of the power gating circuits according to exemplary aspects of the present disclosure.
    Type: Grant
    Filed: May 9, 2016
    Date of Patent: September 5, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Jing Xie, Kambiz Samadi, Pratyush Kamal, Yang Du, Javid Jaffari
  • Patent number: 9741691
    Abstract: Systems and methods relate to power delivery networks (PDNs) for monolithic three-dimensional integrated circuits (3D-ICs). A monolithic 3D-IC includes a first die adjacent to and in contact with power/ground bumps. A second die is stacked on the first die, the second die separated from the power/ground bumps by the first die. One or more bypass power/ground vias and one or more monolithic inter-tier vias (MIVs) are configured to deliver power from the power/ground bumps to the second die.
    Type: Grant
    Filed: April 29, 2015
    Date of Patent: August 22, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Sung Kyu Lim, Kambiz Samadi, Yang Du
  • Patent number: 9712168
    Abstract: Systems and methods for process variation power control in three-dimensional integrated circuits (3DICs) are disclosed. In an exemplary aspect, at least one process variation sensor is placed in each tier of a 3DIC. The process variation sensors report information related to a speed characteristic for elements within the respective tier to a decision logic. The decision logic is programmed to weight output from the process variation sensors according to relative importance of logic path segments in the respective tiers. The weighted outputs are combined to generate a power control signal that is sent to a power management unit (PMU). By weighting the importance of the logic path segments, a compromise voltage may be generated by the PMU which is “good enough” for all the elements in the various tiers to provide acceptable performance.
    Type: Grant
    Filed: September 14, 2016
    Date of Patent: July 18, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Giby Samson, Yu Pu, Yang Du
  • Patent number: 9628077
    Abstract: A three-dimensional integrated circuit having a dual or multiple power domain is capable of less energy consumption operation under a given clock rate, which results in an enhanced power-performance-area (PPA) envelope. Sequential logic operates under a system clock that determines the system throughput, whereas combinational logic operates in a different power domain to control overall system power including dynamic and static power. The sequential logic and clock network may be implemented in one tier of the three-dimensional integrated circuit supplied with a relatively high power supply voltage, whereas the combinational logic may be implemented in another tier of the three-dimensional integrated circuit supplied with a relatively low power supply voltage. Further pipeline reorganization may be implemented to leverage the system energy consumption and performance to an optimal point.
    Type: Grant
    Filed: March 4, 2015
    Date of Patent: April 18, 2017
    Inventors: Jing Xie, Yang Du
  • Patent number: 9626311
    Abstract: Aspects disclosed in the detailed description include memory controller placement in a three-dimensional (3D) integrated circuit (IC) (3DIC) employing distributed through-silicon-via (TSV) farms. In this regard, in one aspect, a memory controller is disposed in a 3DIC based on a centralized memory controller placement scheme within the distributed TSV farm. The memory controller can be placed at a geometric center within multiple TSV farms to provide an approximately equal wire-length between the memory controller and each of the multiple TSV farms. In another aspect, multiple memory controllers are provided in a 3DIC based on a distributed memory controller placement scheme, in which each of the multiple memory controllers is placed adjacent to a respective TSV farm among the multiple TSV farms. By disposing the memory controller(s) based on the centralized memory controller placement scheme and/or the distributed memory controller placement scheme in the 3DIC, latency of memory access requests is minimized.
    Type: Grant
    Filed: January 22, 2015
    Date of Patent: April 18, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Sung Kyu Lim, Karamvir Singh Chatha, Yang Du, Kambiz Samadi
  • Publication number: 20170080378
    Abstract: A novel blend of piperazine (PZ) and a second amine compound is provided as a superior solvent for CO2 capture from coal-fired flue gas. Blending PZ with various second amine compounds can remediate the precipitation issue of concentrated PZ while maintaining its high CO2 absorption capacity and rate, and high resistance to oxidative degradation.
    Type: Application
    Filed: December 2, 2016
    Publication date: March 23, 2017
    Inventors: Gary Rochelle, Yang Du, Omkar Namjoshi
  • Patent number: 9583179
    Abstract: A three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) (3DIC) tiers is disclosed. Related 3DICs, 3DIC processor cores, and methods are also disclosed. In embodiments disclosed herein, memory read access ports of a memory block are separated from a memory cell in different tiers of a 3DIC. 3DICs achieve higher device packing density, lower interconnect delays, and lower costs. In this manner, different supply voltages can be provided for the read access ports and the memory cell to be able to lower supply voltage for the read access ports. Static noise margins and read/write noise margins in the memory cell may be provided as a result. Providing multiple power supply rails inside a non-separated memory block that increases area can also be avoided.
    Type: Grant
    Filed: July 2, 2015
    Date of Patent: February 28, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Jing Xie, Yang Du