Patents by Inventor Yann A. Mignot

Yann A. Mignot has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11164772
    Abstract: One or more embodiments described herein include systems, and/or methods that facilitate fabrication of a semiconductor device using a spacer lithography-etch process. According to an embodiment, a method can comprise performing a first lithography exposure and etch over a first layer of a semiconductor device, where the first lithography exposure and etch comprises forming one or more mandrels on a first region of a second layer by employing a first photoresist layer. The method can further comprise forming one or more spacers on a sidewall of the one or more mandrels and covering a second region of the second layer, where the second region is adjacent to the one or more mandrels. The method can further comprise forming a cut over a third region of the second layer and filling the third region with first material.
    Type: Grant
    Filed: October 30, 2018
    Date of Patent: November 2, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nelson Felix, Ekmini Anuja De Silva, Luciana Meli Thompson, Yann Mignot
  • Patent number: 11164778
    Abstract: A semiconductor device includes a first interconnect structure formed in an MX level of the semiconductor device, the MX level includes a third interlevel dielectric layer located above a second capping layer, a first trench within the third interlevel dielectric layer extending through the second capping layer to expose a top surface of a contact structure located below the second capping layer, the contact structure is located within a second interlevel dielectric layer, a second metal liner conformally deposited within the first trench, and a first seed layer conformally deposited above the second metal liner, the first seed layer includes a metal manganese film. A first thermal annealing process is conducted on the semiconductor device to form a first barrier liner underneath the second metal liner to prevent diffusion of conductive metals.
    Type: Grant
    Filed: November 25, 2019
    Date of Patent: November 2, 2021
    Assignee: International Business Machines Corporation
    Inventors: Junli Wang, Hsueh-Chung Chen, Su Chen Fan, Yann Mignot, Lawrence A. Clevenger
  • Publication number: 20210328041
    Abstract: A method is presented for forming a self-aligned middle-of-the-line (MOL) contact. The method includes forming a fin structure over a substrate, depositing and etching a first set of dielectric layers over the fin structure, etching the fin structure to form a sacrificial fin and a plurality of active fins, depositing a work function metal layer over the plurality of active fins, depositing an inter-layer dielectric (ILD) and a second set of dielectric layers. The method further includes etching the second set of dielectric layers and the ILD to form a first via portion and to expose a top surface of the sacrificial fin, removing the sacrificial fin to form a second via portion, and filling the first and second via portions with a conductive material to form the MOL contact in the first via portion and a contact landing in the second via portion.
    Type: Application
    Filed: April 15, 2020
    Publication date: October 21, 2021
    Inventors: Yann Mignot, Indira Seshadri, Su Chen Fan, Christopher J. Waskiewicz, Eric Miller
  • Patent number: 11152298
    Abstract: A method for fabricating a semiconductor device includes forming first and second interconnect levels on a substrate with the first and second interconnect levels having respective first and second dielectric layers and first and second patterned metal conductors and where each of the first and second patterned metal conductors includes a first metallic material, depositing a third dielectric layer onto the second first interconnect layer, forming a via opening extending through the third dielectric layer and the second dielectric layer and connecting with the first patterned metal conductor of the first interconnect level and depositing a second metallic material different from the first metallic material into the via opening to form a via The via electrically couples with the patterned metal layer of the first interconnect level.
    Type: Grant
    Filed: June 4, 2019
    Date of Patent: October 19, 2021
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, James J. Kelly, Muthumanickam Sankarapandian, Yongan Xu, Hsueh-Chung Chen, Daniel J. Vincent
  • Publication number: 20210305160
    Abstract: A semiconductor device structure includes a memory element disposed within an interlayer dielectric (ILD) layer. A contact is disposed within the ILD in contact with the memory element and includes a first metal. A logic element is disposed within the ILD and comprises a second metal that is different than the first metal. A method of forming the semiconductor structure includes forming at least one memory element within an interlayer dielectric (ILD) layer. A contact that includes a first metal is formed in contact with the memory element. At least one logic element is formed in the ILD layer, where the logic element includes a second metal that is different than the first metal.
    Type: Application
    Filed: March 24, 2020
    Publication date: September 30, 2021
    Inventors: Hsueh-Chung CHEN, Chih-Chao YANG, Yann MIGNOT, Shanti PANCHARATNAM
  • Patent number: 11131919
    Abstract: A method of removing layers of an extreme ultraviolet (EUV) pattern stack is provided. The method includes forming one or more resist templates on an upper hardmask layer. The method further includes exposing portions of the surface of the upper hardmask layer to a dry etch process to produce modified and activated surfaces. The method further includes etching the modified and activated surfaces to expose an underlying organic planarization layer.
    Type: Grant
    Filed: June 22, 2018
    Date of Patent: September 28, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yongan Xu, Zhenxing Bi, Yann Mignot, Nelson Felix, Ekmini A. De Silva
  • Publication number: 20210296118
    Abstract: A novel bevel etch sequence for embedded metal contamination removal from BEOL wafers is provided. In one aspect, a method of processing a wafer includes: performing a bevel dry etch to break up layers of contaminants with embedded metals which, post back-end-of line metallization, are deposited on a bevel of the wafer, which forms a damaged layer on surfaces of the wafer; and then performing a sequence of wet etches, following the bevel dry etch, to render the bevel of the wafer substantially free of contaminants, wherein the sequence of wet etches includes etching the damaged layer to undercut and lift-off any remaining contaminants. A wafer, processed in this manner, having a bevel that is substantially free of contaminants is also provided.
    Type: Application
    Filed: March 19, 2020
    Publication date: September 23, 2021
    Inventors: Devika Sil, Ashim Dutta, Yann Mignot, John Christopher Arnold, Daniel Charles Edelstein, Kedari Matam, Cornelius Brown Peethala
  • Publication number: 20210287940
    Abstract: Embodiments of the present invention disclose a method forming a via and a trench. By utilizing a first etching process, a first metal layer of a multi-layered device to form a via, wherein the multi-layered device comprises the first metal layer and a second metal layer, wherein the first metal layer is formed directly on top of the second metal layer, wherein the second metal layer acts as an etch stop for the first etching process, wherein the first etching process does not affect the second metal layer. By utilizing a second etching process, the second metal layer of the multi-layered device to form a trench, wherein first metal layer is not affected by the second etching process, wherein the first etching process and the second etching process are two different etching process.
    Type: Application
    Filed: March 13, 2020
    Publication date: September 16, 2021
    Inventors: Yann Mignot, Chanro Park, Chih-Chao Yang, Injo Ok, Hsueh-Chung Chen
  • Patent number: 11107727
    Abstract: A method is presented for constructing a dual metal interconnect structure. The method includes forming a trilayer stack over a dielectric layer, forming a plurality of vias extending through the trilayer stack and into the dielectric layer, depositing a first conductive material to fill the plurality of vias, etching the first conductive material to form first conductive regions, depositing a spacer, etching the spacer to form spacer portions adjacent the first conductive regions, and depositing a second conductive material.
    Type: Grant
    Filed: May 10, 2019
    Date of Patent: August 31, 2021
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Hsueh-Chung Chen
  • Patent number: 11101175
    Abstract: Chamferless via structures and methods of manufacture are provided. The structures include a conductive line and a set of chamferless wiring vias formed in a dielectric material with at least one of the vias in contact with the conductive line. The set of chamferless wiring vias is formed with at least a first subset of wiring vias of a first height and a second subset of wiring vias of a second height. The method includes filling trenches within a substrate with a conductive material to form a set of wiring vias with a first height. Next, a block mask is used over a capping material layer to expose a portion of the conductive material layer. The capping material and the conductive material of the set of wiring vias defined by the block mask are etched forming a subset of wiring vias of the second height.
    Type: Grant
    Filed: November 21, 2018
    Date of Patent: August 24, 2021
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Chih-Chao Yang, Hosadurga Shobha
  • Patent number: 11084032
    Abstract: A microfluidic chip with a high volumetric flow rate is provided that includes at least two vertically stacked microfluidic channel layers, each microfluidic channel layer including an array of spaced apart pillars. Each microfluidic channel layer is interconnected by an inlet/outlet opening that extends through the microfluidic chip. The microfluidic chip is created without wafer to wafer bonding thus circumventing the cost and yield issues associated with microfluidic chips that are created by wafer bonding.
    Type: Grant
    Filed: May 22, 2019
    Date of Patent: August 10, 2021
    Assignee: International Business Machines Corporation
    Inventors: Chi-Chun Liu, Yann Mignot, Joshua T. Smith, Bassem M. Hamieh, Nelson Felix, Robert L. Bruce
  • Patent number: 11075161
    Abstract: An interconnect structure is provided. The interconnect structure includes a first metallization layer, an insulating layer and a second metallization layer. The first metallization layer includes, at an uppermost surface thereof, a first body formed of first dielectric material, first metallic elements and buffer elements formed of second dielectric material adjacent the first metallic elements. The insulating layer is disposed on the uppermost surface of the first metallization layer and defines apertures located at the first metallic elements and the corresponding buffer elements. The second metallization layer is disposed on the insulating layer and includes a second body formed of first dielectric material and second metallic elements located at the apertures and extending through the apertures to contact the corresponding first metallic elements and the corresponding buffer elements.
    Type: Grant
    Filed: June 13, 2019
    Date of Patent: July 27, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yann Mignot, Hsueh-Chung Chen, Junli Wang, Chi-Chun Liu, Mary Claire Silvestre
  • Patent number: 11069564
    Abstract: A technique relates to a semiconductor device. Mandrels are formed on a substrate, the mandrels including a first metal layer. A second metal layer is formed on the substrate adjacent to the first metal layer, the first and second metal layers being separated by spacer material.
    Type: Grant
    Filed: April 9, 2019
    Date of Patent: July 20, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hsueh-Chung Chen, Yongan Xu, Yann Mignot, James Kelly, Lawrence A. Clevenger
  • Publication number: 20210217624
    Abstract: A technique relates to a semiconductor device. A bilayer hardmask is formed on layers, the bilayer hardmask including a first hardmask layer and a second hardmask layer on the first hardmask layer. A first pattern is formed in the second hardmask layer, the first pattern including tapered sidewalls forming a first spacing in the second hardmask layer. A second pattern is formed in the first hardmask layer based on the first pattern, the second pattern comprising vertical sidewalls forming a second spacing in the first hardmask layer, the second spacing being reduced in size from the first spacing.
    Type: Application
    Filed: January 14, 2020
    Publication date: July 15, 2021
    Inventors: Praveen Joseph, Gauri Karve, Yann Mignot
  • Patent number: 11063126
    Abstract: A method of forming a semiconductor structure includes the following steps. At least a first source/drain region and a second source/drain region are formed in a substrate. At least a first sacrificial layer and a second sacrificial layer are respectively formed over the first source/drain region and the second source/drain region. A spacer layer is formed on at least a top surface of the substrate and around sides of the first sacrificial layer and the second sacrificial layer. The spacer layer includes an electrical-isolating material. The first sacrificial layer and a second sacrificial layer are removed to form a first open trench and a second open trench. The first open trench and the second open trench are filled with metal contact material to form a first metal contact and a second metal contact electrically isolated from each other by the spacer layer.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: July 13, 2021
    Assignee: International Business Machines Corporation
    Inventors: Su Chen Fan, Yann Mignot, Hsueh-Chung Chen, James J. Kelly
  • Publication number: 20210210679
    Abstract: A method of forming a semiconductor structure includes forming a memorization layer over a substrate, forming a first self-aligned double patterning (SADP) stack including a first organic planarization layer (OPL), masking layer, set of mandrels, and set of spacers, and forming a patterned memorization layer by transferring a first pattern of the first set of spacers to the memorization layer. The method also includes forming a second SADP stack comprising a second OPL, masking layer, set of mandrels, and set of spacers, and forming an array of pillars by transferring a second pattern of the second set of spacers to the patterned memorization layer. The first and second OPL and the first and second sets of mandrels are a spin-on coated OPL material, and the memorization layer and first and second masking layers are a material configured for removal selective to the spin-on coated OPL material.
    Type: Application
    Filed: January 6, 2020
    Publication date: July 8, 2021
    Inventors: Chi-Chun Liu, Yann Mignot, Ekmini Anuja De Silva, Nelson Felix, John Christopher Arnold
  • Patent number: 11056426
    Abstract: Techniques for fabricating a metallic interconnect include forming a first metallization layer that includes a first dielectric layer, a first metallic layer formed in the first dielectric layer and a first capping layer formed on the first dielectric layer and the first metallic layer and forming a second metallization layer that includes a second dielectric layer, a second metallic layer formed in the second dielectric layer and a second capping layer formed on the second dielectric layer and the second metallic layer. A channel is etched in the second capping layer, second dielectric layer, and first capping layer that exposes a portion of the first metallic layer and a portion of the second metallic layer. A metallic interconnect structure is formed in the channel in contact with the exposed portion of the first metallic layer and the exposed portion of the second metallic layer.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: July 6, 2021
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Hosadurga Shobha, Hsueh-Chung Chen, Chih-Chao Yang
  • Patent number: 11037822
    Abstract: A method is presented for forming interlayer connections in a semiconductor device. The method includes patterning an etch stack to provide for a plurality of interlayer connections, etching guide layers following the etch stack to a first capping layer to form a plurality of guide openings, concurrently exposing a first plurality of conductive lines and a second plurality of conductive lines to form a plurality of interlayer connection openings by etching through the plurality of guide openings to remove the first capping layer, an interlayer dielectric, and a second capping layer, and depositing a metal fill in the plurality of interlayer connection openings to form the plurality of interlayer connections.
    Type: Grant
    Filed: May 8, 2019
    Date of Patent: June 15, 2021
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Muthumanickam Sankarapandian, Yongan Xu, Joe Lee
  • Patent number: 11031246
    Abstract: A method is presented for amplifying extreme ultraviolet (EUV) lithography pattern transfer into a hardmask and preventing hard mask micro bridging effects due to resist residue in a semiconductor structure. The method includes forming a top hardmask over an organic planarization layer (OPL), depositing a photoresist over the top hardmask, patterning the photoresist using EUV lithography, performing ion implantation to create doped regions within the exposed top hardmask and regions of hardmask underneath resist residue, stripping the photoresist, and selectively etching the top hardmask by either employing positive tone or negative tone etch based on an implantation material.
    Type: Grant
    Filed: February 26, 2020
    Date of Patent: June 8, 2021
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Yongan Xu, Oleg Gluschenkov
  • Publication number: 20210159117
    Abstract: A semiconductor device includes a first interconnect structure formed in an Mx level of the semiconductor device, the Mx level includes a third interlevel dielectric layer located above a second capping layer, a first trench within the third interlevel dielectric layer extending through the second capping layer to expose a top surface of a contact structure located below the second capping layer, the contact structure is located within a second interlevel dielectric layer, a second metal liner conformally deposited within the first trench, and a first seed layer conformally deposited above the second metal liner, the first seed layer includes a metal manganese film. A first thermal annealing process is conducted on the semiconductor device to form a first barrier liner underneath the second metal liner to prevent diffusion of conductive metals.
    Type: Application
    Filed: November 25, 2019
    Publication date: May 27, 2021
    Inventors: Junli Wang, Hsueh-Chung Chen, Su Chen Fan, Yann Mignot, Lawrence A. Clevenger