Wafer heater assembly

- TOKYO ELECTRON LIMITED

A wafer heating assembly is described having a unique heater element for use in a single wafer processing systems. The heating unit includes a carbon wire element encased in a quartz sheath. The heating unit is as contamination-free as the quartz, which permits direct contact to the wafer. The mechanical flexibility of the carbon ‘wire’ or ‘braided’ structure permits a coil configuration, which permits independent heater zone control across the wafer. The multiple independent heater zones across the wafer can permit temperature gradients to adjust film growth/deposition uniformity and rapid thermal adjustments with film uniformity superior to conventional single wafer systems and with minimum to no wafer warping. The low thermal mass permits a fast thermal response that enables a pulsed or digital thermal process that results in layer-by-layer film formation for improved thin film control.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

The invention relates to a substrate holder, and more particularly to a single wafer heater assembly in a substrate holder having low thermal mass and a fast response time.

BACKGROUND OF THE INVENTION

As semiconductor manufacturing technology progresses, the diameter of a semiconductor wafer has increased providing a larger number of semiconductor circuits to meet the higher demand for improvement in the yield rate in order to reduce costs. In order to provide a better yield, improved temperature control is often required.

During semiconductor processing, a substrate holder can be used to control the wafer/substrate temperature. In single wafer processing, single piece resistive heaters are used, and they are difficult to control. Problems with conventional single wafer heater systems include: potential for metal contamination from components; limited thermally independent zones, (limited by heater/susceptor thermal stress fracture considerations); uniformity degradation from edge heat-loss effects; limited thermal response time due to large thermal mass; wafer warping and slip defect formation from excessive thermal gradients across wafers. As wafers get bigger and device features get smaller, a better single wafer heater is needed to improve process performance.

For reason, a heater with a more uniform heating characteristic and faster response time is needed.

SUMMARY OF THE INVENTION

The invention relates to an apparatus and method for controlling the temperature of a wafer/substrate mounted on a substrate holder in a processing chamber.

The invention provides a substrate holder that comprises a heating unit with a more uniform heating characteristic and faster response time. In one aspect of the invention, a unique heater element has been developed that comprises a high purity carbon wire encased in quartz. The heater elements are designed to emit electromagnetic radiation similar to conventional metal-wire heater elements, and the heater elements have low thermal mass for rapid thermal transitioning.

The improved single wafer heater configuration and elimination of contamination sources typical of previous heater elements enables a wider range of process application. New applications range from low temperature SOG cures to high speed, high temperature spike anneals. The low thermal mass and fast thermal response provides a means of processing by pulsing thermal decomposition or reaction analogous to a layer-by-layer growth mechanism, enhancing control of thin film formation.

BRIEF DESCRIPTION OF THE DRAWINGS

A more complete appreciation of various embodiments of the invention and many of the attendant advantages thereof will become readily apparent with reference to the following detailed description, particularly when considered in conjunction with the accompanying drawings, in which:

FIG. 1 illustrates an exemplary block diagram schematic representation of a processing system according to an embodiment of the invention;

FIG. 2A shows a simplified cross-sectional view of a substrate holder according to an embodiment of the invention;

FIG. 2B shows a simplified block cross-sectional view of a substrate holder in accordance with another embodiment of the invention;

FIGS. 3A-3C show exemplary schematic views of heater units in accordance with an embodiment of the invention;

FIG. 4 shows a schematic view of another heater unit in accordance with an embodiment of the invention;

FIG. 5 illustrates a simplified diagram of a heating unit in accordance with an embodiment of the invention;

FIG. 6A is a longitudinal cross section of an endpoint element for coupling a connection line and the carbon wire heater in accordance with an embodiment of the invention;

FIG. 6B is a lateral cross section of the endpoint element in accordance with an embodiment of the invention;

FIG. 7 is a plan view of the carbon wire heater in accordance with an embodiment of the invention;

FIG. 8 illustrates a simplified diagram of a multi-sectional heating unit in accordance with an embodiment of the invention;

FIG. 9 illustrates a simplified diagram of a multi-element heating in accordance with an embodiment of the invention;

FIGS. 10A-10C illustrate simplified diagrams of another multi-element heating assembly in accordance with an embodiment of the invention

FIG. 11A illustrates a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the invention;

FIG. 11B illustrates a simplified block diagram of multiple wafer heater assembly in accordance with an embodiment of the invention;

FIG. 12 illustrates a simplified block diagram of a single wafer heater assembly in accordance with another embodiment of the invention;

FIG. 13 illustrates a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the invention;

FIG. 14 illustrates a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the invention; and

FIG. 15 illustrates a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the invention.

DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS

In material processing systems, substrates and/or wafers are positioned on holders, such as chucks, that include heating and/or cooling elements. In one embodiment of the invention, an improved holder is provided that includes a unique heater element that comprises a high purity carbon wire encased in quartz.

FIG. 1 illustrates an exemplary block diagram of a processing system according to an embodiment of the invention. For example, processing system 100 can include an etch system, such as a plasma etcher. Alternately, processing system 100 can include a photoresist coating system, a patterning system, a development system, and/or combinations thereof. In other embodiments, processing system 100 can include a thermal processing system such as a rapid thermal processing (RTP) system, a coating system, a chemical vapor deposition (CVD) system, a physical vapor deposition (PVD, iPVD) system, a atomic layer deposition (ALD) system, and/or combinations thereof.

Processing system 100 can include elements for controlling the chamber wall temperature. As shown, a wall temperature control element 166 can be coupled to a wall temperature control unit 160, and the wall temperature control element 166 can be coupled to the processing chamber 110. The temperature control element can include a heater element and/or a cooling element. For example, the heater element can include a resistance heater or a carbon heater element. The temperature of the processing chamber 110 can be monitored using a temperature-sensing device such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.). Furthermore, a controller can utilize the temperature measurement as feedback to the wall temperature control unit 160 in order to control the temperature of the processing chamber 110.

In addition, the processing system 100 can further include a pressure control system 150 coupled to the processing chamber 110 to control the pressure in the processing chamber 110. The pressure control system 150 can include a vacuum pump 152 and a gate valve 154 for controlling the chamber pressure and a pressure sensor (not shown). For example, vacuum pump 152 can include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to 5000 liters per second (and greater). The TMP can be a Seiko STP-A803 vacuum pump, or an Ebara ET1301W vacuum pump. TMPs are useful for low pressure processing, typically less than 50 mTorr. For high pressure (i.e., greater than 100 mTorr) or low throughput processing (i.e., no gas flow), a mechanical booster pump and dry roughing pump can be used. Although the pressure control system 150 is shown coupled to the bottom of the processing chamber 110, this is not required. In alternate embodiments, pressure control system 150 can be coupled to the top, and/or side of the processing chamber 110. Furthermore, a controller can utilize a pressure measurement as feedback to the pressure control system 150 in order to control the chamber pressure.

For example, processing chamber 110 can facilitate the formation of processing plasma in a process space 112 adjacent to substrate 145. Alternately, processing chamber 110 can facilitate the formation of a processing gas in a process space 112 adjacent to substrate 145. The processing system 100 can be configured to process 200 mm substrates, 300 mm substrates, or larger substrates. In an alternate embodiment, processing system 100 can include multiple processing chambers, and the processing system can operate by generating plasma in one or more processing chambers.

Processing system 100 can further include an upper assembly 120 coupled to the processing chamber 110. For example, upper assembly 120 can include a gas distribution plate 175 that is coupled to a gas distribution system 170 for introducing a process gas into a process space 1 12 within the processing chamber 110. The gas distribution plate 175 can further include a plurality of orifices (not shown) configured to distribute one or more gasses from the gas distribution system 170 to the process space 1 12 of processing chamber 110. The process gas can include at least one of NH3, HF, H2, O2, CO, CO2, Ar, He, or N2. As used herein, the term “at least one of A, B, C . . . or x” means including any one of the listed elements, or including any combination of more than one of the listed elements. For example, during a poly and/or nitride processes the process gas may include at least one of DCS, TCS, SiH4, Si2H6, HCD, or NH3; during a CVD Oxide process the process gas may include at least one of TEOS, or BTBAS; during an ALD process the process gas may include at least one of H2O, TMA, HTB, NO, or N2O; and during a Metal CVD process the process gas may include at least one of Tungsten Carbonyl, Rhenium Carbonyl, or Taimata.

Furthermore, upper assembly 120 can be configured to perform at least one of the following functions: provide a capacitively coupled plasma (CCP) source, provide an inductively coupled plasma (ICP) source, provide a transformer-coupled plasma (TCP) source, provide a microwave powered plasma source, provide an electron cyclotron resonance (ECR) plasma source, provide a Helicon wave plasma source, or provide a surface wave plasma source.

For example, upper assembly 120 can include RF components (not shown) and/or magnet system components (not shown). In addition, upper assembly 120 can include supply lines, injection devices, and/or other gas supply system components (not shown). Furthermore, upper assembly 120 can include a housing, a cover, sealing devices, and/or other mechanical components (not shown).

In an alternate embodiment, processing chamber 110 can, for example, further include a chamber liner (not shown) or process tube (not shown) for protecting the processing chamber 110 from a processing plasma in the process space 112. In addition, processing chamber 110 can include a monitoring port (not shown). For example, a monitoring port can permit optical monitoring of process space 112.

Substrate 145 can be, for example, transferred into and out of processing chamber 110 through opening 194 that is controlled by gate valve assembly 190. In addition, substrate 145 can be transferred on and off the substrate holder using a robotic substrate transfer system (not shown). In addition, substrate 145 can be received by substrate lift pins (not shown) housed within substrate holder 140 and mechanically translated by devices housed therein. Once substrate 145 is received from the substrate transfer system, it can be lowered to an upper surface of substrate holder 140.

Substrate 145 can be affixed to the substrate holder 140 via an electrostatic clamping system, but passive wafer restraints are typically adequate. Furthermore, substrate holder 140 can also include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 140 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Moreover, gas can be delivered to the backside of substrate 145 via a backside gas system to improve the gas-gap thermal conductance between substrate 145 and substrate holder 140. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. In other embodiments, heating elements, such as resistive heating elements, or thermoelectric heaters/coolers can be included.

In alternate embodiments, substrate holder 140 can, for example, further include a vertical translation device (not shown) that can be surrounded by a bellows (not shown) coupled to the substrate holder 140 and the processing chamber 110, and configured to seal the vertical translation device from the reduced pressure atmosphere in processing chamber 110. Additionally, a bellows shield (not shown) can be coupled to the substrate holder 140 and configured to protect the bellows. Substrate holder 140 can, for example, further provide a focus ring (not shown), a shield ring (not shown), and a baffle plate (not shown).

In the illustrated embodiment, shown in FIG. 1, substrate holder 140 can include an electrode 144 through which RF power can be coupled to the process gasses in process space 112. For example, substrate holder 140 can be electrically biased at a RF voltage via the transmission of RF power from RF system 185. In some cases, a RF bias can be used to heat electrons to form and maintain plasma. A typical frequency for the RF bias can range from 1 MHz to 100 MHz. For example, semiconductor processing systems that use 13.56 MHz for plasma processing are well known to those skilled in the art.

As shown in FIG. 1, substrate holder 140 can include a heating unit 142 for heating the substrate 145. Power source 180 can provide DC power to heating unit 144, and the heating unit can provide radiant energy to the substrate 145.

In addition, in other embodiments, substrate holder 140 can include a protective barrier (not shown) formed on one or more exposed surfaces of the substrate holder 140. In alternate embodiments, a protective barrier (not shown) can be formed on one or more interior surfaces of the upper assembly 120. Protective barriers can include nitride compounds, such as aluminum nitride, and/or polyimide compounds.

In alternate embodiments, protective barriers, when used to protect component in processing system 100, can be created in a number of different ways. In one case, a protective barrier can be created by anodizing a metal, and impregnating the anodized surface with Teflon. For example, a protective barrier can be formed by hard anodizing aluminum or hard anodizing an aluminum alloy and impregnating the hard-anodized surface with TFE. In other cases, a protective barrier can be created using at least one of Al2O3, Yttria (Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, or DyO3. In addition, a protective barrier can include at least one of an III-column element (column III of periodic table) and a Lanthanon element; the III-column element can include at least one of Yttrium, Scandium, or Lanthanum, and the Lanthanon element can include at least one of Cerium, Dysprosium, or Europium.

In addition, a protective barrier can be formed in the processing chamber as part of a pre-process coating, such as a SiN or Si deposition prior to the desired process film.

Processing system 100 can also include a controller 130. Controller 130 can be coupled to chamber 110, upper assembly 120, substrate holder 140, pressure control system 150, pumping system 160, and SIA 180. The controller can be configured to provide control data to the system components and receive process and/or status data from the system components. For example, controller 130 can include a microprocessor, a memory (e.g., volatile or non-volatile memory), and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 100 as well as monitor outputs from the processing system 100. Moreover, the controller 130 can exchange information with chamber 110, upper assembly 120, substrate holder 140, pressure control system 150, wall temperature control unit 160, gas supply system 170, substrate holder temperature control unit (TCU) 180, and gate valve assembly 190. In addition, a program stored in the memory can be utilized to control the aforementioned components of a processing system 100 according to a process recipe. In addition, controller 130 can be configured to analyze the process and/or status data, to compare the process and/or status data with target process and/or status data, and to use the comparison to change a process and/or control a system component. In addition, the controller can be configured to analyze the process and/or status data, to compare the process and/or status data with historical process and/or status data, and to use the comparison to predict, prevent, and/or declare a fault.

Additionally, the processing chamber 110 can be heated or cooled to a temperature ranging from 30 to 150 C and, for example, the temperature can typically be 40 C. Additionally, the gas distribution system can be heated to a temperature ranging from 40 to 150 C. and, for example, the temperature can typically be 50 C. The substrate can be maintained at a temperature ranging from 250 to 1000 C. and, for example, the substrate temperature can typically be 500 C.

FIG. 2A shows a simplified cross-sectional view of a substrate holder according to an embodiment of the invention. As illustrated in FIG. 2A, the substrate holder 200 can be configured to be substantially thermally isolated from the processing chamber. A centering ring 215 can be coupled to the substrate holder. For example, centering ring 215 can include Teflon.

In an alternate embodiment, substrate holder 200 can include a protective barrier (not shown) formed on the top surface of the substrate holder, and the protective barrier can include a compound including Teflon.

As shown in FIG. 2A, substrate holder 200 comprises a heating assembly 220 comprising a heating unit 210, a thermal barrier 230, a cooling unit 240, and a coupling unit 250.

Cooling system 240 can include a re-circulating coolant (not shown) that receives heat from substrate holder and transfers heat to a heat exchanger system (not shown).

Moreover, a heat transfer gas can be delivered to the backside of substrate 145 via a backside gas system to improve the gas-gap thermal conductance between substrate 145 and substrate holder 140. For instance, the heat transfer gas supplied to the backside of substrate 145 can include an inert gas such as helium, argon, xenon, krypton, a process gas such as CF4, C4F8, C5F8, C4F6, etc., or other gas such as oxygen, nitrogen, N2O, NO or hydrogen. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas system can include a multi-zone gas distribution system such as a two-zone (center-edge) system, wherein the backside gas gap pressure can be independently varied between the center and the edge of substrate 145. In other embodiments, heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 240, as well as the chamber wall of the processing chamber 110.

For example, the heating assembly 220 can be fabricated from an electrically non-conducting and a thermally conducting material such as quartz, and the heaters in heating unit 210 can be fabricated from an electrically conducting material such as highly pure carbon wire.

For example, the thermal barrier 230 can be fabricated from a thermally variable material having a variable thermal conductivity such as quartz, alumina, Teflon, etc.

The cooling unit 240 can, for example, be fabricated from an electrically and thermally conducting material such as aluminum, stainless steel, nickel, etc.

The coupling unit 250 can, for example, be fabricated from a thermally resistant material having a relatively lower thermal conductivity such as quartz, alumina, Teflon, etc. Alternately, the coupling unit 250 can be fabricated from an electrically and thermally conducting material such as aluminum, stainless steel, nickel, etc.

In alternate embodiments, components 220, 230, 240, and 250 may include protective barriers (not shown) formed on one or more exterior surfaces thereof. For example, a protective barrier can be formed as described above.

For example, the cooling unit 240 can include a coolant channel (not shown) within the cooling unit 240 that permits a flow rate of coolant, such as water, Fluorinert, Galden HT-135, etc., in order to provide conductive-convective cooling of the substrate holder 140. Alternately, the temperature cooling unit 240 can include an array of thermo-electric elements capable of heating or cooling the substrate holder depending upon the direction of electrical current flow through the respective elements. An exemplary thermo-electric element is one commercially available from Advanced Thermoelectric, Model ST-127-1.4-8.5M (a 40 mm by 40 mm by 3.4 mm thermo-electric device capable of a maximum heat transfer power of 72 W).

Additionally, the substrate holder 140 can further include an electrostatic clamp (ESC) (not shown) comprising a non-conducting material having a clamping electrode embedded therein. The design and implementation of such a clamp is well known to those skilled in the art of electrostatic clamping systems.

Additionally, the substrate holder 140 can further include a back-side gas supply system (not shown) for supplying a heat transfer gas, such as an inert gas including helium, argon, xenon, krypton, a process gas including CF4, C4F8, C5F8, C4F6, etc., or other gas including oxygen, nitrogen, or hydrogen, to the backside of substrate 242 through at least one gas supply line 342, and at least one of a plurality of orifices or channels. The backside gas supply system 340 can be a multi-zone supply system such as a two-zone (center-edge) system, wherein the backside pressure can be varied radially from the center to edge.

The substrate holder 140 can further include a thermal barrier 230 in order to provide additional thermal insulation between the heating assembly 220 and the underlying cooling component 240. In one embodiment, the thermal barrier can include a thermal shield provided in the substrate holder. For example, the thermal shield can include a circular disk, and a reflecting surface can be provided on the upper surface. The thermal barrier can include at least one of transparent quartz glass material, opaque quartz glass material, a silicon carbide compound, or a silicon carbide-silicon compound.

The reflecting surface can include an insulating coat film including at least one of a silica fine powder, an alumina fine powder, and titanium oxide fine powder. The reflecting surface has heat insulation properties and shading properties. The thermal shield can improve the heat efficiency of the heating unit by reflecting heat rays radiated from the heater element.

In one embodiment, the compounding ratio between silica fine powder and alumina fine powder of the reflecting heat insulating coat film is approximately 3:1 to 3:7, and the coat film can further include titanium oxide fine powder. For example, the average particle size of silica fine powder, alumina fine powder, and titanium oxide can be approximately 0.1 to 200 microns. When titanium oxide fine powder is compounded, it is compounded at a ratio of 50 to 150 parts for 100 parts of alumina. The film thickness of the reflecting heat insulating coat film can range from approximately 30 microns to approximately 300 microns. When the thickness of the reflecting heat insulating coat film is less than 30 microns, the heat insulation properties and the shading properties become worse, and when the thickness is more than 300 microns cracking can occur.

In addition, a high purity heat insulating material can be used to fill the space below the thermal shield. When high purity heat insulating material is used, a substrate heating apparatus having excellent heat insulation properties, excellent shading properties, and excellent heating efficiency may be obtained.

In an alternate embodiment, the reflecting heat insulating coat film may be applied to the top and bottom surfaces of the circular disk. For example, a 30 to 200 micron thick material can be applied and baked at approximately 1000° C. to form the reflecting heat insulating coat film. This film is difficult to degrade, peel, and discolor even if the film is exposed under high temperature more than 1200° C. for a long time. Furthermore, when film thickness is approximately 100 microns, heat rays whose wavelength is 2.5 microns may be reflected by more than 45%.

In an alternate embodiment, the thermal barrier 230 can include a thermal insulation gap (not shown) that can be evacuated using a pumping system (not shown) or a vacuum line (not shown) coupled to the pressure control system, and/or coupled to a gas supply (not shown) in order to vary its thermal conductivity. The gas supply can be the backside gas supply utilized to couple heat transfer gas to the backside of the substrate 145. In an alternate embodiment, a thermal barrier is not required.

In one embodiment, the top surface of the substrate holder is flat and the substrate is lowered onto and/or raised from the top surface of the substrate holder using a set of lift pins. For example, the substrate can be lifted or lowered via quartz pins, and the substrate holder can include holes in the quartz carbon wire heater assembly that allow the quartz pins to translate through the carbon wire heater assembly. When the substrate is in intimate contact with the substrate holder surface, the ratio of conduction to radiation energy transfer increases. The use of quartz in the top surface portions of the substrate holder decreases the backside contamination problem. For example, metal contamination can be substantially eliminated.

FIG. 2B shows a simplified block cross-sectional view of a substrate holder in accordance with another embodiment of the invention. In the illustrated embodiment, a heater assembly 220A and a substrate 145 is shown. The heater assembly 220A can include a heating unit 210A that can include at least one carbon wire heater and holding device 212A.

As shown, the top surface of the heater assembly can include raised portions 225 on which the substrate can be positioned. The raised portions 225 can be made tall enough to allow a wafer transfer mechanism (fork) to translate between the substrate and the top surface of the substrate holder and lift the substrate off the substrate holder or lower the substrate onto the substrate holder.

The holding device 212A, heating unit 210A, and raised portions 225 can include quartz. Heating unit 210A may be configured in one of the configurations described herein.

In the illustrated embodiment, the heating unit 210A is shown mounted at the top of the holding device 212A, but this is not required. Alternately, the heating unit can be mounted differently.

In an alternate embodiment, temperature sensors can be positioned within the raised portions 225 and/or within the holding device 212A. The temperature sensors can be used to measure the heater assembly temperature and/or substrate temperature. In addition, data from the temperature sensors can be used to determine other wafer characteristics such as curvature.

Furthermore, having a quartz substrate holder can improve the maintenance of the processing system. Process products and by-products are less likely to react and/or attach to the quartz surfaces of the substrate holder. Also, more aggressive and/or more frequent chamber cleanings can be performed when the substrate holder comprises quartz.

The substrate holder can be cleaned without opening the chamber since it has such a wide operating temperature range. For example, the temperature of the substrate holder could be raised to an elevated temperature during a cleaning process. The cleaning process could be performed separately or as part of a chamber cleaning process

A substrate holder that comprises a carbon wire heater as describe herein can have an extremely wide operating range, an extremely fast temperature response, and excellent transfer characteristics; therefore it can be used in a variety of applications.

A single wafer heater assembly (substrate holder) can be used in deposition systems such as Chemical Vapor Deposition (CVD) systems, Plasma Enhanced Chemical Vapor Deposition (PECVD) systems, Physical Vapor Deposition (PVD) systems, Ionized Physical Vapor Deposition (iPVD) systems, and Atomic Layer Deposition (ALD) systems.

In addition, a single wafer heater assembly (substrate holder) can be used in thermal processing systems such as Rapid Thermal Processing (RTP) systems, Rapid Thermal Annealing (RTA) systems, drying systems, developing systems, and spike-annealing systems.

Furthermore, a single wafer heater assembly (substrate holder) can be used in etching systems, and chemical oxide removal (COR) systems.

As such, the single wafer heater assembly has to operate with many different types of wafers having different properties. A wafer at the beginning of its process development cycle is much different structurally than it will be at the end of the process development cycle. The thermal response of a wafer during front-end processing is different than the same wafer during back-end processing. The single wafer heater assembly operates effectively for front-end and back-end processing.

A multi-zone single wafer heater assembly allows independent control of selected regions of the wafer. For example, the center region can be controlled differently than the outer regions. This can be done to compensate for thermal radiation differences in the different regions of the wafer. The multi-zone single wafer heater assembly can maintain uniform wafer temperatures by providing different power levels to the plurality of carbon wire heaters for many different process recipes, different chamber pressures, different process chemistries, different process gas flows, different wafer types, and different process times.

Wafers with different film coatings can have different radiation and heat transfer properties. A wafer's thermal properties can also be dependent on the amount of doping, and the single wafer heater assembly can be programmed to compensate for these differences in the wafer's thermal properties.

In an alternate embodiment, wafer uniformity may be improved by rotating the wafer and/or a portion of the heater assembly. In this way, the small differences in the heat delivery and the heat loss can be averaged out. Achieving an optimum process uniformity can lead to an increase yield for a process

There are two basic methods for controlling a single wafer heater in a substrate holder; a closed loop method can be used in which measured data is fed back to a controller or an open loop method can be used in which control relies on the repeatability of a process and previously measured data for the process.

The single wafer heater assembly can be used to manufacture thin oxide layers because it can operate at high temperatures and can ramp up to temperature in a short time. Higher temperature oxides may have lower leakage currents, lower stresses, and higher reliability.

The single wafer heater assembly can be used to manufacture thin silicon oxynitride layers because it can operate at high temperatures and can ramp up to temperature in a short time. Silicon oxynitride layers may have lower leakage currents, lower stresses, and higher reliability.

The single wafer heater assembly can be used to manufacture a variety of thin dielectric layers because it can operate at high temperatures and can ramp up to temperature in a short time.

The single wafer heater assembly can be used to perform thermal annealing steps in ion implantation processes because it can operate at high temperatures and can ramp up to temperature in a short time.

The single wafer heater assembly can be used in RTA processes since the high temperature range and the fast response time are necessary to provide better dopant annealing during the formation of ultra-shallow junctions.

The thermal annealing step using the invention can provide lower sheet resistances, lower junction depths, and lower defect densities in the junction.

The single wafer heater assembly can be used for processes because the carbon heating element in the quartz substrate holder can provide an excellent way of controlling impurities in the chamber and a way of providing improved junctions.

Silicides are used in deep submicron CMOS technologies to lower the sheet resistance of source, drain and gate areas as well as contact and source-drain series resistance. As CMOS processes are scaled down, several problems arise in the silicidation module.

The annealing temperatures and the process kinetics are dependent upon the metal used and the invention can be used for a number of different metals such as NiSi, TiSi2, and CoSi2. The single wafer heater assembly allows for the control of contaminants before, during, and after the annealing processes.

The incorporation of one or more carbon heaters into a substrate holder can provide reduced thermal budgets, faster throughput, and reduced cost of ownership. The single wafer heater assembly can provide the improved process performance required for high aspect ratio processes, and can be used for ultra shallow junction formation, salicidation, oxide growth, BPSG densification and metal annealing.

The substrate holder 200 can further include a lift pin assembly (not shown) capable of raising and lowering three or more lift pins in order to vertically translate substrate 145 to and from an upper surface of the substrate holder and a transfer plane in the processing system.

The temperature of the temperature-controlled substrate holder 200 can be monitored using a temperature-sensing device (not shown) such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.). Furthermore, a controller can utilize the temperature measurement as feedback to the substrate holder in order to control the temperature of substrate holder. For example, at least one of a fluid flow rate, fluid temperature, heat transfer gas type, heat transfer gas pressure, clamping force, heater element current and/or voltage, thermoelectric device current or polarity, etc. can be adjusted in order to affect a change in the temperature of substrate holder.

Optical monitoring system (not shown) can permit monitoring of optical emission from the processing space. For example, a photodiode, a photomultiplier tube, a CCD, CID, or other solid-state detector can be used. However, other optical devices capable of analyzing optical emissions can be used as well. The monitoring system can provide information to controller in order to adjust chamber conditions, such as wafer temperature, before, during, or after processing. In an alternate embodiment, optical monitoring system can also include a light source, such a laser.

In addition, an optical monitoring system can be used to monitor the efficiency of the heating unit. For example, the optical monitoring system can operate in a frequency band that includes the wavelength of the carbon fiber heater element. In addition, optical monitoring system can be used to monitor a cleaning process for the substrate holder. For example, a clean substrate holder may be sensed when optical emission is high and stable during a cleaning process.

The invention permits higher temperature ranges of operation with faster thermal gradients than conventional heater systems. The benefit is more obvious at higher temperatures (>250C.), and the heating element can operate as high as 950-1000C.

FIGS. 3A-3C show exemplary schematic views of heater units in accordance with an embodiment of the invention. In the illustrated embodiment, a circular heater unit 300A is shown having a circular central segment 310 and a number of annular ring segments (320, 330, 340, 350, and 360). Six segments are shown in FIG. 3A, but this is not required for the invention. The heater unit may include a different number of segments, and the segments may be shaped differently. For example, the annular rings may have different thicknesses. In the illustrated embodiment, each segment of the heating unit comprises a heating element (315, 325, 335, 345, 355, and 365), and each heating element can be independently controlled.

In FIG. 3B, a circular heater unit 300B is shown having a circular central segment and a number of annular-ring ninety-degree segments (A, B, C, and D segments). Equal thickness segments are shown in the illustrated embodiment, but this is not required for the invention. The heater unit may include a different number of segments, and the segments may be shaped differently. In the illustrated embodiment, each segment comprises a heating element that can be independently controlled.

In FIG. 3C, a circular heater unit 300C is shown having a circular central segment and a number of annular-ring forty-five-degree segments (A1, A2, B1, B2, C1, C2, D1, and D2 segments). Equal thickness segments are shown in the illustrated embodiment, but this is not required for the invention. The heater unit may include a different number of segments, and the segments may be shaped differently. In the illustrated embodiment, each segment comprises a heating element that can be independently controlled.

Alternately, a heating element is not required for each segment of the heating units shown in FIGS. 3A-3C. In other embodiments, isolation elements (not shown) can be provided to isolate the segments from each other.

In one embodiment, one or more temperature sensors (not shown) may be positioned in one or more segments of the heating units shown in FIGS. 3A-3C. Alternately, temperatures can be measured using optical techniques.

FIG. 4 shows a schematic view of another heater unit in accordance with an embodiment of the invention. In the illustrated embodiment, a square heater unit 400 is shown having a number of square segments 410. Twenty Five segments are shown in FIG. 4, but this is not required for the invention. Heater unit 400 may include a different number of segments, and the segments may be shaped differently. For example, rectangular shapes may be used. In the illustrated embodiment, each segment of the heat unit comprises a heating element 420, and each heating element can be independently controlled. In one embodiment, one or more temperature sensors (not shown) may be positioned in one or more segments of the heating units shown in FIG. 4. Alternately, temperatures can be measured using optical techniques. In addition, a heating element is not required for each segment of the heating unit shown in FIG. 4. In other embodiments, isolation elements (not shown) can be provided to isolate the segments from each other.

FIG. 5 illustrates a simplified diagram of a heating unit in accordance with an embodiment of the invention. In the illustrated embodiment, heating unit 500 comprises a heating element 510, transitional elements 512A and 512B, sealing terminal portion 519, and connecting terminals 517A and 517B.

Heating element 510 can include a circular tube 511 in which a carbon wire heater 515 comprising a carbon fiber bundle can be sealed. The ends of the circular tube 511 can be coupled to transitional elements 512A and 512B. In one embodiment, the carbon wire heater 515 is accommodated in the circular tube 511 and the transitional elements 512A and 512B do not contain heaters. For example, this can allow the radiation from the heater to be more efficiently controlled. In an alternate embodiment, a portion of one or more of the transitional elements 512A and 512B can include a portion of the heater.

In one embodiment, the circular tube 511 and the transitional elements 512A and 512B can be formed from a single piece of material such as quartz glass. In another embodiment, the circular tube 511 and the transitional elements 512A and 512B can be formed from separate pieces of material and fused together during the manufacturing process. Alternately, the transitional elements 512A and 512B are not required and the circular tube 511 may be sealed, and connecting terminals can be provided at the ends of the circular tube during the manufacturing process.

In addition, a sealing terminal portion 519 can be coupled to the end portions of the transitional elements 512A and 512B. Sealing terminal portion 519 can include sealing the end portions of the transitional elements 512A and 512B. For example, a bifurcated cap can be used as a sealing means. In addition, a pinch seal can be used. In addition, a graded seal portion can also be used that can include different glass materials.

The carbon wire heater 515 can be inserted into the circular tube 511 and can extend between the endpoint elements 513A and 513B. Further, the endpoint elements 513A and 513B can include compressed wire carbon members 516 as shown in FIGS. 6A and 6B. The carbon wire heater 515 can be buried in the compressed wire carbon members 516, which are also in a compressed state as shown in FIGS. 6A and 6B. The carbon wire members 516 and the carbon wire heater 515 can be accommodated to extend therein substantially in parallel to the axis of the endpoint elements 513A and 513B.

In FIG. 5, a circular shape is shown, but this is not required for the invention. Alternately, different shapes can be used such as a substantially elliptical shape, a substantially square shape, and a substantially rectangular shape. In one embodiment, the circular tube can include a quartz glass material. In alternate embodiments, a different material can be used.

For example, a carbon wire heater 515 can include a carbon wire that can be manufactured by binding 300 to 350 carbon fibers each having a diameter of between 5 and 15 micrometers into a bundle. Then, a plurality of about 9 such bundles are woven into a carbon wire in the form of a knitted cord, a braid having a diameter of 2 mm to be used as a carbon wire.

The carbon wire heater 515 and the wire carbon members 516 can include 300 to 350 carbon fibers each having a diameter of approximately 7 microns bound into one fiber bundle and nine such fiber bundles are woven into a knitted cord or a braid having a diameter of 2 mm, which has an electric resistance of 10 ohms/meter at approximately room temperature, and or 5 ohms/meter at a temperature of approximately 1,000° C. In addition, the electric resistance of five such carbon wires when bound is 2 ohms/meter at approximately room temperature and 1 ohms/meter at approximately 1,000° C. As a result, the heat produced by the wire carbon members 516 is much less than the heat produced by the carbon wire heater 515.

In the carbon wire, the weaving span of the carbon wire can be approximately 2 to 5 mm, the surface fluffing (518 FIG. 7) of the carbon wire can be approximately 0.5 to 2.5 high mm. For example, the surface fluffing can be a part of broken carbon fibers projecting from the outer surface of the carbon wire as shown in FIG. 7. The carbon wire heater can be constructed such that the fluffing is in contact with the inner wall of circular tube while the body of the carbon wire heater is not. In this manner, the reaction of the quartz glass (SiO2) and the carbon content (C) of the carbon wire heater is minimized at a high temperature such that degradation of the quartz glass and lowering in durability of the carbon wire is reduced.

In order to realize such a construction, the inside diameter of the circular tube may be selected in accordance with the diameter and the number of the carbon fibers in the carbon wire heater. In addition, the impurity quantity (ash content) in carbon fiber and the carbon wire heater is less than 10 ppm. Alternately, the ash content is less than 3 ppm.

The wire carbon members 516 can be interposed between the carbon wire heaters 515 and internal connection lines 514A and 514B to minimize the heat which can be transferred from the carbon wire heater 515 to the internal connection lines 514A and 514B so that degrading due to high temperature of the sealed terminal 519 can be prevented.

Like in the case of the carbon wire heater 515, the reaction of quartz glass (SiO2) with the carbon (C) of the wire carbon members 516 at a high temperature is minimized so that the degrading of the quartz glass and the reduction in the durability of the carbon wire is prevented.

The internal connection lines 514A and 514B can be positioned within the glass tubes that form a part of the transitional elements (512A and 512B). The internal connection lines 514A and 514B can be coupled to the endpoint elements 513A and 513B respectively as shown in FIGS. 6A and 6B. For example, the internal connection lines 514A and 514B can be compressed within the endpoint elements 513A and 513B. In addition, the internal connections 514A and 514B can be coupled to the sealed terminals 519.

The external connection lines 517A and 517B can be used to couple the heating element to a power source (not shown). Sealed terminals can include a way of coupling internal connections to external connections. For example, molybdenum (Mo) foil (not shown) can be used to couple the internal connection lines 514A and 514B to the external connection lines 517A and 517B. In addition, sealed terminal 519 can include one or more plug members (not shown) to close the end of the quartz glass tube.

In an alternate embodiment, an additional glass tube (not shown) can be provided having a larger diameter than the circular tube 511, so that the circular tube 511 can be inserted into the large diameter quartz glass tube, the tubes can be integrated by fusing or welding means.

The internal connection lines 514A and 514B and the external connections lines 517A and 517B can include molybdenum (Mo) or tungsten (W) rods having a diameter of 1 to 3 mm. The diameters of the internal connection lines 514A and 514B, and external connection lines 517A and 517B may be chosen as necessary though too small a diameter can lead to a larger electric resistance, which is not desirable. On the other hand, too large a diameter is not desirable because the size of the terminal becomes larger.

In order to assure the easy connection of the internal connection lines 514A and 514B to the carbon wires, that is, the carbon members 516 compressed within the circular tube 511, the end of the internal connection lines 514A and 514B can be pointed.

Sealed terminal 519 can further include cement, containing pulverized alumina (Al2O3) or pulverized SiO2.

In one embodiment, the procedure for manufacturing the circular-shaped heating unit can include: creating the circular tube 511 including transitional elements 512A and 512B; assembling the carbon wire heating member 510 within the circular tube 511; assembling the endpoint elements in the transitional elements 512A and 512B; coupling the endpoint elements at opposite ends of the carbon wire heating member 510; assembling the sealed terminal section 519 which connects the internal connection lines 514A and 514B and the external connection lines 517A and 517B; and reducing the inside pressure of the heater down to below 1 Torr before sealing.

FIG. 6A shows a longitudinal cross section of an endpoint element for coupling a connection line and the carbon wire heater in accordance with an embodiment of the invention; and FIG. 6B shows a lateral cross section of the terminal element in accordance with an embodiment of the invention.

In the illustrated embodiment, an endpoint element 513A, 513B is shown. The endpoint element is used to couple a carbon wire heater 515 and a connecting wire 514A, 514B to a plurality of the wire carbon materials 516 in a compressed state. The endpoint element is used to electrically connect the carbon wire heater and the connecting wire through the plural-wire carbon materials. This provides an excellent electrical connection over a wide temperature range. Additionally, the plurality of carbon materials helps to reduce oxidation effect on the conductive wires.

FIG. 7 is a plan view of the carbon wire heater in accordance with an embodiment of the invention. In the illustrated embodiment, a carbon wire heater 515, 516 is formed by bundling a plurality of carbon fiber bundles in which extra fine carbon fiber is bundled in a knitted cord-like or braid-like manner. The carbon wire heater has a small heat capacity is small, an excellent temperature characteristic, and excellent durability at high temperature when compared with conventional heating element made of metal or SiC. In addition, the carbon wire heater has excellent flexibility, adaptability of shape deformation, and processability when compared with a heating element made of solid carbon material since the heater is formed by bundling a plurality of fine carbon single fiber bundle.

For example, a carbon wire heater can be formed by bundling 10 bundles that each comprises approximately 3,000 to 3500 carbon fibers having a diameter of 7 microns. The carbon fibers can be bundled in a knitted cord-like or braid-like fashion. The span of wire bundling can be approximately 2 to 5 mm. In addition, the knitted cord-like or braid-like carbon wire heater has fluffing (raising) of carbon fiber on a surface thereof. Fluffing (raising) can be a portion of cut carbon fiber (single fiber) that protrudes from the outer periphery surface of carbon wire. The fluffing (raising) of surface due to carbon fiber is approximately 0.5 to 2.5 mm.

When the carbon wire heater is inserted, only the fluffing (raising) 518 makes contact with inside wall of the quartz glass tube or groove, and a body of the heater does not contact the inside wall. In this way, reaction between quartz glass (SiO2) and carbon (C) of the carbon wire heater at high temperature may be reduced and/or eliminated. In addition, the degradation of quartz glass and reduction of durability of carbon wire may be reduced and/or eliminated.

The carbon fiber is high purity from the viewpoint of uniformity of heating, durability, stability, and contamination. In addition, the impurity quantity (ash content) in carbon fiber and the carbon wire heater is less than 10 ppm. In an alternate embodiment, the ash content in carbon fiber is not more than 3 ppm.

The wire carbon members 516 can include a material that is approximately the same as, or at least a similar to, the material in the carbon wire heater 515. For example, they can be in the shape of knitted cord or braid, and have approximately the same carbon fiber diameter, the same number of bound carbon fibers, the same number of fiber bundles, the same knitting method, the same weaving span, the same fluffing, the same material, and the same ash content (less than 10 ppm). Then, the number of the wire carbon members accommodated in the endpoint elements 513A and 513B can be equal to or more than that of the carbon wire heater 515. In one embodiment, there can be five or more wire carbon members 516 for every carbon wire heater 515.

FIG. 8 illustrates a simplified diagram of a multi-sectional heating unit in accordance with an embodiment of the invention. In the illustrated embodiment, heating unit 800 comprises four heating elements (810, 820, 830, and 840), transitional elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B), sealing terminal portions (819, 829, 839, and 849), and connecting terminals (817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B).

Heating elements (810, 820, 830, and 840) can include curved tubes (811, 821, 831, and 841) in which carbon wire heaters (815, 825, 835, and 845) comprising a carbon fiber bundle can be sealed. The ends of the curved tubes (811, 821, 831, and 841) can be coupled to transitional elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B). In one embodiment, the carbon wire heaters (815, 825, 835, and 845) are mounted in the curved tubes (811, 821, 831, and 841), and the transitional elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B) do not contain heaters. For example, this can allow the radiation from the heater to be more efficiently controlled. In an alternate embodiment, a portion of one or more of the transitional elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B) can include a portion of the heater.

In one embodiment, the curved tubes (811, 821, 831, and 841) and the transitional elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B) can be formed from a single piece of material such as quartz glass. In another embodiment, the curved tubes (811, 821, 831, and 841) and the transitional elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B) can be formed from separate pieces of material and fused together during the manufacturing process. Alternately, the transitional elements are not required and the curved tubes (811, 821, 831, and 841) may be sealed, and connecting terminals can be provided at the ends of the curved tubes during the manufacturing process.

In addition, sealing terminal portions (819, 829, 839, and 849) can be coupled to the end portions of the transitional elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B). Sealing terminal portions (819, 829, 839, and 849) can include a way of sealing the end portions of the transitional elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B). For example, a bifurcated cap can be used as a sealing means. In addition, a pinch seal can be used. In addition, a graded seal portion can also be used that can include different glass materials.

Carbon wire heaters (815, 825, 835, and 845) can be inserted into the curved tubes (811, 821, 831, and 841) and extend between the endpoint elements (813A, 813B, 823A, 823B, 833A, 833B, 843A, and 843B). Further, the endpoint elements (813A, 813B, 823A, 823B, 833A, 833B, 843A, and 843B) can include compressed wire carbon members 516 as shown in FIGS. 6A and 6B. The carbon wire heater 515 can be buried in the compressed wire carbon members 516 that are also in a compressed state as shown in FIGS. 6A and 6B. The carbon wire members 516 and the carbon wire heater 515 are accommodated to extend therein substantially in parallel to the axis of the endpoint elements.

In FIG. 8, the four curved sections shown form a substantially circular shape, but this is not required for the invention. Alternately, different shapes can be used such as a substantially elliptical shape, a substantially square shape, and a substantially rectangular shape. In one embodiment, the tubes can include a quartz glass material. In alternate embodiments, a different material can be used.

For example, carbon wire heaters (815, 825, 835, and 845) can include a carbon wire that can be manufactured by binding 300 to 350 carbon fibers each having a diameter of between 5 and 15 micrometers into a bundle. Then, a plurality of about 9 such bundles are woven into a carbon wire in the form of a knitted cord, a braid having a diameter of 2 mm to be used as a carbon wire.

The carbon wire heater and the wire carbon members can include 300 to 350 carbon fibers each having a diameter of approximately 7 microns bound into one fiber bundle and nine such fiber bundles are woven into a knitted cord or a braid having a diameter of 2 mm, which has an electric resistance of 10 ohms/meter at approximately room temperature, and or 5 ohms/meter at a temperature of approximately 1,000° C. In addition, the electric resistance of five such carbon wires when bound is 2 ohms/meter at approximately room temperature and 1 ohms/meter at approximately 1,000° C. As a result, the heat produced by the wire carbon members 516 is much less than the heat produced by the carbon wire heater 515.

In the carbon wire, the weaving span of the carbon wire can be approximately 2 to 5 mm, the surface fluffing (518 FIG. 7) of the carbon wire can be approximately 0.5 to 2.5 high mm. For example, the surface fluffing can be a part of broken carbon fibers projecting from the outer surface of the carbon wire as shown in FIG. 7. The carbon wire heater can be constructed such that the fluffing is in contact with the inner wall of curved tube while the body of the carbon wire heater is not. In this manner, the reaction of the quartz glass (SiO2) and the carbon content (C) of the carbon wire heater is minimized at a high temperature such that degradation of the quartz glass and lowering in durability of the carbon wire is reduced.

In order to realize such a construction, the inside diameter of the curved tube may be selected in accordance with the diameter and the number of the carbon fibers in the carbon wire heater. In addition, the impurity quantity (ash content) in carbon fiber and the carbon wire heater is less than 10 ppm. Alternately, the ash content is less than 3 ppm.

The internal connection lines (814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B) can be positioned within the glass tubes that form a part of the transitional elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B). The internal connection lines (814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B) can be coupled to the endpoint elements (813A, 813B, 823A, 834B, 833A, 833B, 843A, and 843B) respectively as shown in FIGS. 6A and 6B. For example, the internal connection lines (814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B) can be compressed within the endpoint elements (813A, 813B, 823A, 823B, 833A, 833B, 843A, and 843B).

As shown, the internal connections (814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B) can be coupled to the sealed terminals (819, 829, 839, and 849). In addition, the external connections (817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B) can be coupled to the sealed terminals (819, 829, 839, and 849). Sealed terminals can include a way of coupling internal connections to external connections. For example; molybdenum (Mo) foil (not shown) can be used to couple the internal connection lines 814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B) to the external connection lines (817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B). In addition, sealed terminals (819, 829, 839, and 849) can include one or more plug members (not shown) to close the end of the tubes. For example, sealed terminals can further include cement, containing pulverized alumina (Al2O3) or pulverized SiO2.

The external connection lines (817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B) can be used to couple the heating elements (810, 820, 830, and 840) to one or more power sources (not shown). Heating elements (810, 820, 830, and 840) can be controlled independently.

In an alternate embodiment, additional glass tubes (not shown) can be provided having a larger diameter than the tubes shown in FIG. 8, so that the smaller tubes can be inserted into the large diameter tubes, and the tubes can be integrated by fusing or welding means.

The internal connection lines 814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B) and the external connections lines (817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B) can include molybdenum (Mo) or tungsten (W) rods having a diameter of 1 to 3 mm. The diameters of the internal connection lines and external connection lines may be chosen as necessary although too small a diameter can lead to a larger electric resistance, which is not desirable. On the other hand, too large a diameter is not desirable because the size of the terminal becomes larger.

In one embodiment, the procedure for manufacturing a curved heater segment can include: creating the curved tube including transitional elements; assembling the carbon wire heating member within the tube; assembling the endpoint elements in the transitional elements; coupling the endpoint elements at opposite ends of the carbon wire heater; assembling the sealed terminal section which connects the internal connection lines and the external connection lines; and reducing the inside pressure of the curved heater segment down to below 1 Torr before sealing.

FIG. 9 illustrates a simplified diagram of a heating assembly in accordance with an embodiment of the invention. In the illustrated embodiment, heating assembly 900 comprises three heating units (910, 920, and 930), and a holding device 950. Three heating units are shown, but this is not required for the invention. In alternate embodiments, a different number of heating units can be used, a different configuration can be used, and the heating units can have different shapes.

Each heating unit (910, 920, and 930) can include a circular quartz glass tube in which a carbon wire heater comprising a carbon fiber bundle can be sealed as described herein. The ends of the circular quartz glass tubes can be coupled to transitional elements. In one embodiment, the carbon wire heater is accommodated in the curved quartz glass tube, and the transitional elements do not contain heaters. For example, this can allow the radiation from the heater to be more efficiently controlled. In an alternate embodiment, a portion of one or more of the transitional elements can include a portion of the heater.

In FIG. 9, the heating units (910, 920, and 930) can be mounted in recesses or grooves in a holding device 950. In an alternate embodiment, the heating units (910, 920, and 930) can include multi-sectional elements as shown in FIG. 8.

FIGS. 10A-10C illustrate simplified diagrams of another heating assembly in accordance with an embodiment of the invention. In the illustrated embodiment, heating assembly 1000 comprises three heating elements (1010,1020, and 1030), a holding device 1050, and a cover 1070. Three heating elements are shown, but this is not required for the invention. In alternate embodiments, a different number of heating elements can be used, a different configuration can be used, and the heating elements can have different shapes.

Cover 1070 can include a first flat quartz glass plate and the holding device 1050 can include a second flat quartz glass plate having circular-shaped recesses or grooves (1011,1021, and 1031), in which the carbon wire heaters can be positioned. In one embodiment, the cover 1070 and the holding device 1050 can be fused to each other such that the carbon wire heaters (1012,1022, and 1032) are sealed into integral members as shown in FIG. 10C.

In addition, heating unit 1000 further comprises transitional elements (1012A, 1012B, 1022A, 1022B, and 1032A), sealing terminal portions (1019, 1029, and 1039), and connecting terminals (1017A, 1017B, 1027A, 1027B, 1037A, and 1037B).

Heating elements (1010,1020, and 1030) can include curved recesses or grooves (1011,1021, and 1031) in the holding device 1050 in which carbon wire heaters (1015,1025,1035, and 1045) comprising a carbon fiber bundle can be sealed. The ends of the curved grooves (1011, 1021, 1031, and 1041) can be coupled to transitional elements (1012A, 1012B, 1022A, 1022B, 1032A, 1032B, 1042A, and 1042B). In one embodiment, the carbon wire heaters (1015,1025,1035, and 1045) are mounted in the curved grooves (1011,1021,1031, and 1041), and the transitional elements (1012A, 1012B, 1022A, 1022B, 1032A, 1032B, 1042A, and 1042B) do not contain heaters. For example, this can allow the radiation from the heater to be more efficiently controlled. In an alternate embodiment, a portion of one or more of the transitional elements (1012A, 1012B, 1022A, 1022B, 1032A, 1032B, 1042A, and 1042B) can include a portion of the heater.

In the carbon wire, the weaving span of the carbon wire can be approximately 2 to 5 mm, the surface fluffing (518 FIG. 7) of the carbon wire can be approximately 0.5 to 2.5 high mm. For example, the surface fluffing can be a part of broken carbon fibers projecting from the outer surface of the carbon wire as shown in FIG. 7. The carbon wire heater can be constructed such that the fluffing is in contact with the inner wall of curved tube while the body of the carbon wire heater is not. In this manner, the reaction of the quartz glass (SiO2) and the carbon (C) content of the carbon wire heater is minimized at a high temperature such that degradation of the quartz glass and lowering in durability of the carbon wire is reduced.

In order to realize such a construction, the inside diameter of the curved recess or groove may be selected in accordance with the diameter and the number of the carbon fibers in the carbon wire heater. In addition, the impurity quantity (ash content) in carbon fiber and the carbon wire heater is less than 10 ppm. Alternately, the ash content is less than 3 ppm.

In addition, sealing terminal portions (1019, 1029, and 1039) can be coupled to the end portions of the transitional elements (1012A, 1012B, 1022A, 1022B, 1032A, and 1032B). Sealing terminal portions (1019, 1029, and 1039) can include a way of sealing the end portions of the transitional elements (1012A, 1012B, 1022A, 1022B, 1032A, and 1032B). For example, a bifurcated cap can be used as a sealing means. In addition, a pinch seal can be used. In addition, a graded seal portion can also be used that can include different glass materials.

Carbon wire heaters (1015, 1025, and 1035) can be inserted into the curved recesses or grooves (1011, 1021, and 1031) and extend between the endpoint elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B). Further, the endpoint elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B) can include compressed wire carbon members 516 as shown in FIGS. 6A and 6B. The carbon wire heaters can be buried in the compressed wire carbon members that are also in a compressed state as shown in FIGS. 6A and 6B. The carbon wire members and the carbon wire heater can be accommodated to extend therein substantially in parallel to the axis of the endpoint elements.

In the illustrated embodiment, circular shapes are shown, but this is not required for the invention. Alternately, different shapes can be used such as a substantially elliptical shape, a substantially square shape, and a substantially rectangular shape. In one embodiment, the tubes can include a quartz glass material. In alternate embodiments, a different material can be used.

For example, carbon wire heaters (1015,1025, and 1035) can include a carbon wire that can be manufactured by binding 300 to 350 carbon fibers each having a diameter of between 5 and 15 micrometers into a bundle. Then, a plurality of about nine such bundles are woven into a carbon wire in the form of a knitted cord, a braid having a diameter of 2 mm to be used as a carbon wire. The carbon wire heaters (1015,1025, and 1035) can be arranged in a circular configuration in the holding device 1050. However, the wiring arrangement may be changed freely and is not limited thereto.

The holding device 1050 can be formed with substantially hollow recesses or grooves (1011,1021, and 1031) with spaces at peripheral portions thereof that can be used to couple the transitional elements.

For example, a heating unit can be prepared by fusing together at bond faces of the cover 1070 and the holding device 1050, after the carbon wire heaters are arranged in the recesses or grooves, and the inside of the recesses or grooves are brought into a state of non-oxidizing atmosphere. The carbon wire heater can include approximately 350 pieces of fibers, each having a diameter of 5 through 15 microns, configured into a fiber bundle, and weaving nine (9) such fiber bundles into a knitted cord or a braid having a diameter of 2 mm. A carbon fiber having a diameter less than 5 microns may lack sufficient strength to endure the weaving process into a heater of a desired elongated shape. Further, such fibers may be too fine to obtain a desired resistance unless too many strings of fibers are used, thus making use of such fibers unpractical. In addition, a carbon fiber having a diameter of more than 15 microns may lack resiliency and is not only difficult to weave when bound into plural bundles of carbon fibers, but also some of the bundled fibers may have less strength.

Furthermore, the surface fluffing of the carbon fibers can be approximately 0.5 through 2.5 mm high. The fluffing is a part of broken carbon wires projecting from the outer surface. The carbon wire heaters are coupled to the holding device by the fluffing such that a compact heating unit which is excellent in subsurface heating uniformity and suitable for the manufacture of semiconductors results.

In an alternate embodiment, a carbon wire heater can include 100 to 800 carbon fibers each having a diameter of 5 through 15 microns woven into a bundle. Three or more such bundles can be woven into a longitudinal configuration such as a wire or a tape. The carbon wire heater can have an electrical resistance of 1 through 20 ohms/meter at the operating temperature.

The endpoint elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B) can be positioned within the small diameter circular quartz glass tubes 1055 that form a part of the transitional elements (1013A, 1013A, 1023A, 1023B, 1033A, and 1033B). The endpoint elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B) can include compressed wire carbon members as shown in FIGS. 6A and 6B. The carbon wire heater can be inserted into the recesses or grooves in the holding device and can extend between the endpoint elements. Further, the carbon wire heaters can be buried in the compressed wire carbon members that are also in a compressed state as shown in FIGS. 6A and 6B.

The internal connection lines (1014A, 1014B, 1024A, 1024B, 1034A, and 1034B) can be positioned within the small diameter quartz glass tubes that form a part of the transitional elements (1013A, 101 3A, 1023A, 1023B, 1033A, and 1033B). The internal connection lines (1014A, 1014B, 1024A, 1024B, 1034A, and 1034B) can be coupled to the endpoint elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B) respectively as shown in FIGS. 6A and 6B. For example, the internal connection lines (1014A, 1014B, 1024A, 1024B, 1034A, and 1034B) can be compressed within the endpoint elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B).

As shown, the internal connections (1014A, 1014B, 1024A, 1024B, 1034A, and 1034B) can be coupled to the sealed terminals (1019, 1029, and 1039). In addition, the external connections (1017A, 1017B, 1027A, 1027B, 1037A, and 1037B) can be coupled to the sealed terminals (1019,1029, and 1039). Sealed terminals can include a way of coupling internal connections to external connections. For example, molybdenum (Mo) foil (not shown) can be used to couple the internal connection lines (1014A, 1014B, 1024A, 1024B, 1034A, and 1034B) to the external connection lines (1017A, 1017B, 1027A, 1027B, 1037A, and 1037B). In addition, sealed terminals (1019,1029, and 1039) can include one or more plug members (not shown) to close the end of the tubes. For example, sealed terminals can further include cement, containing pulverized alumina (Al2O3) or pulverized SiO2.

The external connection lines (1017A, 1017B, 1027A, 1027B, 1037A, and 1037B) can be used to couple the heating elements (1010, 1020, and 1030) to one or more power sources (not shown). Heating elements (1010, 1020, and 1030) can be controlled independently.

The endpoint elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B) are shown partially filling the small diameter quartz glass tubes, but this is not required for the invention. In an alternate embodiment, the endpoint elements can have a different size and a different position. In addition, the endpoint elements can be positioned within the recesses or grooves.

In an alternate embodiment, one or more of the small glass tubes may be eliminated. For example, a sealed terminal 1090 may be coupled to the bottom of the holding device 1050, and the terminal lines from the carbon wire heaters can be drawn out perpendicularly to the bottom heater surface through openings (not shown) formed in the holding device 1050. Sealed terminals 1090 can include a way of coupling the terminal lines to the external connection.

In addition, sealed terminals can include a way of introducing nitrogen gas to prevent the carbon wire heater from being oxidized and a way of reducing the internal pressure of the heater and the terminal.

Although the molybdenum (Mo) foil are used for a conductive purpose, another material such as tungsten (W) foil may be used in place thereof, provided that molybdenum (M) foils are preferable in terms of resiliency.

As a plug member, resin or cement (using pulverized SiO2 or Al2O3 may be used for preventing cracks from being formed when dried.

In an alternate embodiment, the heating element (1010, 1020, and 1030) can include multi-sectional segments as shown in FIG. 8.

A carbon wire heater can be manufactured by knitting plural bundles, each bundle being composed of ultra fine carbon fibers. A carbon wire heater can have a smaller heating capacity and a faster rate of change for temperature than a conventional metallic heating element. A carbon wire heater has excellent high-temperature durability. Since the carbon wire heater is manufactured by knitting plural bundles each composed of fine carbon fibers, it has excellent flexibility and can be processed easily into various configurations as a semiconductor manufacturing heating unit.

FIG. 11A illustrates a simplified block diagram of a single wafer heater assembly in accordance with an embodiment with the invention. In the illustrated embodiment, a single wafer heating assembly 1100A is shown that comprises two heating assemblies (1110 and 1120), but this is not required for the invention. In other embodiments, different configurations can be used. For example, embodiments are envisioned for circular, non-circular, planar, and non-planar applications.

In FIG. 11A, one heating assembly 1110 comprising one or more heating elements having one or more carbon heaters is shown below a substrate 1130. The bottom heating assembly 1110 can include a quartz holding device and the one or more heating elements having one or more carbon heaters can be assembled in the quartz holding device. For example, heating assembly 1110 can be configured using at least one of a single segment heating element and a multi-segment heating element. Heating assembly 1110 can be part of a substrate holder (not shown).

A second heating assembly 1120 also comprising one or more heating elements having one or more carbon heaters is shown above the substrate 1130. The top heating assembly 1120 can include a quartz holding device and the one or more heating elements having one or more carbon heaters can be assembled in the quartz holding device. For example, heating assembly 1120 can be configured using at least one of a single segment heating element and a multi-segment heating element. Heating assembly 1120 can be part of an upper assembly in a processing chamber (not shown). Arrows 1170 indicate direction of radiation emitting from the heating unit. The radiation patterns for the heating elements can be different and variable radiation (heating) patterns can be provided across the upper and lower surfaces of a wafer.

Using one or more heating assemblies provides faster and more uniform heating of the substrate 1130. A wafer holder 1140 can be used to position and hold the substrate between the two heating assemblies. Alternately, the substrate can be positioned on the lower assembly 1110.

A controller 1150A can be coupled to and used to control the lower assembly 1110, the upper assembly 1120, and the wafer holder 1140. Wafer holder 1140 can be configured to minimize and/or eliminate the shadowing of the bottom of the substrate. Controller 1150A can be used to position the substrate, to provide power independently to each of the carbon wire heaters in the upper and lower heating assemblies. Alternately, upper assembly 1110, lower assembly 1120, and/or wafer holder 1140 may include one or more temperature sensors (not shown), which may also be coupled to the controller and used to control the temperature of the upper assembly, lower assembly, and/or the substrate.

Controller 1150A can provide a time-varying power level to one or more of the carbon wire heaters in the heating assemblies. The time varying power level can include a step function, a ramp function, a pulse function, a constant function, a modulated function or combinations thereof. The carbon wire heaters and the low thermal mass of the heating assemblies allow for rapid temperature changes.

FIG. 11B illustrates a simplified block diagram of a single wafer heater assembly in accordance with an embodiment with the invention. In the illustrated embodiment, a multi-position wafer heating assembly 1100B is shown that comprises three heating assemblies (1151,1152, and 1153), but this is not required for the invention. In other embodiments, a different number of heating assemblies may be used, a different number of positions may be used, and different configurations may be used. For example, embodiments are envisioned for circular, non-circular, planar, and non-planar applications. Arrows 1170 indicate direction of radiation emitting from the heating unit.

Heating assemblies (1151, 1152, and 1153) can include one or more heating elements having one or more carbon heaters. The heating assemblies (1151, 1152, and 1153) can include quartz holding devices and the one or more heating elements having one or more carbon heaters can be assembled in the quartz holding device. For example, the heating assemblies (1151,1152, and 1153) can be configured using at least one of a single segment heating element and a multi-segment heating element. One or more heating assembly can include a substrate holder (not shown).

In the illustrated embodiment, two wafers (1140A and 1140B) are shown, but this is not required for the invention. In other embodiments, a different number of wafers may be used, and different configurations may be used. Separate wafer holders (1140A and 1140B) can be used to individually position and hold a substrate between two heating assemblies. Alternately, a substrate can be positioned on one of the heating assemblies.

Using a multi-positional heating assembly can provide an increased throughput. The multi-positional heating assembly can provide faster and more uniform heating for multiple substrates (1130A and 1130B).

A controller 1150B can be coupled to and used to control the heating assemblies (1151, 1152, and 1153), and the wafer holders (1140A and 1140B). Wafer holders (1140A and 1140B) can be configured to minimize and/or eliminate the shadowing of the bottom of the substrate. Controller 1150B can be used to position the substrate, to provide power independently to each of the carbon wire heaters in the heating assemblies (1151, 1152, and 1153). Temperature sensors (not shown) can also be coupled to the controller and used to control the temperature of the heating assemblies (1151, 1152, and 1153) and the substrate.

Controller 1150B can provide a time-varying power level to one or more of the carbon wire heaters in the heating assemblies. The time varying power level can include a step function, a ramp function, a pulse function, a constant function, a modulated function or combinations thereof. The carbon wire heaters and the low thermal mass of the heating assemblies allow for rapid temperature changes.

FIG. 12 illustrates a simplified block diagram of a single wafer heater assembly in accordance with another embodiment of the invention. In the illustrated embodiment, a single heating assembly 1210 comprising one or more heating elements 1212 having one or more carbon heaters is shown. In addition, a wafer 1230, a wafer holder 1240, and a controller 1250 are shown, but this is not required for the invention. In other embodiments, different configurations can be used. For example, additional heating assemblies may be used. Alternately, the wafer holder can hold more than one wafer.

Controller 1250 can be coupled to and can control the heating assembly 1210. Temperature sensors (not shown) can also be coupled to the controller and used to control the temperature of the heating assembly 1210.

Controller 1250 can provide a time-varying power level to one or more of the heating elements 1212 (carbon wire heaters) in the heating assembly 1210. The time varying power level can include a step function, a ramp function, a pulse function, a constant function, a modulated function or combinations thereof. The carbon wire heaters and the low thermal mass of the heating assembly allow for rapid temperature changes.

The heating assembly 1210 can include a holding device in which one or more heating elements 1212 having one or more carbon heaters can be assembled. The holding device can include quartz.

The wafer holder 1240 can include a non-metallic material such as quartz or silicon carbide. The wafer holder 1240 is shown having three support points, but this is not required for the invention. In alternate embodiments, a different number of support points can be used, and the support points can be configured differently. For example, embodiments are envisioned for non-circular applications.

Controller 1250 can be coupled to and can control the wafer holder 1240. Wafer holder 1240 can be configured to minimize and/or eliminate the shadowing of the bottom of the substrate. Wafer holder 1240 can be configured to be used to move and/or position the substrate. For example, wafer holder 1240 can be configured to provide at least one of vertical movement, horizontal movement, and rotational movement of a substrate/wafer. Alternately, wafer holder 1240 may include one or more temperature sensors (not shown), which may also be coupled to the controller and used to control the temperature of the substrate.

FIG. 13 illustrates a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the invention. In the illustrated embodiment, a single heating assembly 1310 comprising one or more heating elements 1312 having one or more carbon heaters and a plurality of wafer holding elements 1320 is shown. In addition, a wafer 1330, a substrate positioner 1340, and a controller 1350 are shown, but this is not required for the invention. In other embodiments, different configurations can be used. For example, additional heating assemblies and/or holding assemblies may be used.

The heating assembly 1310 can include a quartz holding device and the one or more heating elements having one or more carbon heaters can be assembled in the quartz holding device.

The single heating assembly 1310 can include a non-metallic material such as quartz or silicon carbide. The heating assembly 1310 is shown having three wafer holding elements 1320, but this is not required for the invention. In alternate embodiments, a different number of wafer holding elements 1320 can be used, and the wafer holding elements 1320 can be configured differently. For example, embodiments are envisioned for wafer holding elements 1320 having curved and/or straight features. Alternately, heating assembly 1310 and/or wafer holding elements 1320 may include one or more temperature sensors (not shown), which may also be coupled to the controller and used to control the temperature of the substrate.

Controller 1350 can be coupled to and can control the heating assembly 1310, and controller 1350 can provide a time-varying power level to one or more of the heating elements 1312 (carbon wire heaters) in the heating assembly 1310. The time varying power level can include a step function, a ramp function, a pulse function, a constant function, a modulated function or combinations thereof. The carbon wire heaters and the low thermal mass of the heating assembly allow for rapid temperature changes.

Controller 1350 can be coupled to and can control the substrate positioner 1340. Substrate positioner 1340 can be configured to move and/or position the substrate. For example, substrate positioner 1340 can be configured to provide at least one of vertical movement, horizontal movement, or rotational movement of a substrate/wafer. Alternately, substrate positioner 1340 may be configured differently.

FIG. 14 illustrates a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the invention.

In the illustrated embodiment, a single wafer heating assembly 1400 is shown that comprises two heating assemblies (1410 and 1420), but this is not required for the invention. In other embodiments, different configurations can be used. For example, embodiments are envisioned for circular, non-circular, planar, and non-planar applications. In FIG. 14, a side view, a top view, and a bottom view are shown.

In FIG. 14, one heating assembly 1410 comprising one or more heating elements having one or more carbon heaters is shown below a substrate 1430. The bottom heating assembly 1410 can include a quartz holding device and the one or more heating elements 1412 having one or more carbon heaters can be assembled in the quartz holding device. For example, heating elements 1412 can be configured using at least one of a single segment heating element and a multi-segment heating element. Alternately, heating assembly 1410 may include a substrate holding device (not shown).

A second heating assembly 1420 comprising one or more heating elements 1422 having one or more carbon heaters is shown above the substrate 1430. The top heating assembly 1420 can include a quartz holding device and the one or more heating elements having one or more carbon heaters can be assembled in the quartz holding device. For example, heating elements 1422 can be configured using at least one of a single segment heating element and a multi-segment heating element. Heating assembly 1420 can be part of an upper assembly in a processing chamber (not shown). Arrows 1470 indicate direction of radiation emitting from the heating unit. The radiation patterns for the heating elements can be different and variable radiation (heating) patterns can be provided across the upper and lower surfaces of a wafer. Using one or more heating assemblies provides faster and more uniform heating of the substrate 1430.

A wafer holder 1440 can be used to position and/or hold the substrate between the two heating assemblies. Alternately, the substrate may be positioned on the lower assembly 1410.

A controller 1450 can be coupled to and used to control the lower assembly 1410, the upper assembly 1420, and the wafer holder 1440. Wafer holder 1440 can be configured to minimize and/or eliminate the shadowing of the bottom of the substrate. Controller 1450 can be used to position the substrate, to provide power independently to each of the carbon wire heaters in the upper and lower heating assemblies. Alternately, upper assembly 1410, lower assembly 1420, and/or wafer holder 1440 may include one or more temperature sensors (not shown), which may also be coupled to the controller and used to control the temperature of the upper assembly, lower assembly, and/or the substrate.

Controller 1450 can provide a time-varying power level to one or more of the carbon wire heaters in the heating assemblies. The time varying power level can include a step function, a ramp function, a pulse function, a constant function, a modulated function or combinations thereof. The carbon wire heaters and the low thermal mass of the heating assemblies allow for rapid temperature changes.

For example, the heating elements can include one or more carbon heaters that may be enclosed in quartz tubes and/or quartz holding devices. Each portion is shown having a plurality of linear-shaped heating elements, but this is not required for the invention. In alternate embodiments, different configurations can be used. For example, embodiments are envisioned for heating elements having curved and/or straight features. In addition, although a circular wafer is shown this is not required for the invention. Alternately, non-circular wafers and/or substrates can be accommodated.

FIG. 15 illustrates a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the invention. In FIG. 15, a side view, and a top/bottom view are shown.

In the illustrated embodiment, a single heating assembly 1510 is shown having a plurality of U-shaped heating elements 1520, but this is not required for the invention. In other embodiments, different configurations can be used. For example, a different number of heating elements and/or different shapes may be used. Each U-shaped element may include one or more heating elements having one or more carbon heaters. The heaters may be enclosed in quartz tubes and/or quartz holding devices. In addition, although a circular wafer is shown this is not required for the invention. Alternately, non-circular wafers and/or substrates can be accommodated.

The carbon wire tube heaters can be bent into a U-shaped design to simplify the number of required heater elements. In some cases, tube shaped heaters can be cheaper to manufacture, to repair, and can offer more flexibility than the disk-shaped heaters.

For example, heating element 1520 can be configured using at least one of a single segment heating element and a multi-segment heating element. The radiation patterns for the heating elements can be different and variable radiation (heating) patterns can be provided across the upper and lower surfaces of a wafer.

Using one or more heating assemblies provides faster and more uniform heating of the substrate 1530. A wafer holder 1540 can be used to position and hold the substrate in the U-shaped heating assemblies. Alternately, the substrate can be positioned on the lower portion of the heating assembly.

A controller 1550 can be coupled to and used to control the heater elements 1520, and the wafer holder 1540. Wafer holder 1540 can be configured to minimize and/or eliminate the shadowing of the bottom of the substrate. Controller 1550 can be used to position the substrate, to provide power independently to each of the carbon wire heaters in the heating elements 1520. Alternately, heating elements 1520, and/or wafer holder 1540 may include one or more temperature sensors (not shown), which may also be coupled to the controller and used to control the temperature of the heater assemblies and/or the substrate.

Controller 1550 can provide a time-varying power level to one or more of the carbon wire heaters in the heating assemblies. The time varying power level can include a step function, a ramp function, a pulse function, a constant function, a modulated function or combinations thereof. The carbon wire heaters and the low thermal mass of the heating assemblies allow for rapid temperature changes.

Since the heating elements described herein have a high chemical purity and provide for an extremely low level of metal contamination, the carbon wire heaters can be inserted into the process chamber without additional shields or passivation layers to protect the heaters from etching or process interactions with the process gases during processing. Additional shields and passivation layers may degrade the heater performance.

The wafer heating assemblies described herein can provide enhanced process capabilities such as faster thermal ramp-up with reduced to no wafer warping that is enabled by the independent thermal control of multiple heat zone within a wafer and the ability to rapidly respond to changes that is enabled by the fast thermal response provided by the low thermal mass associated with the carbon wire heater elements.

The wafer heating assemblies described herein can be used for pulsed thermal processing that is enabled by the fast thermal response provided by the low thermal mass associated with the carbon wire heater elements, and that can provide layer-by-layer film formation for greater thin film control than conventional processing.

The invention provides an improved temperature adjustment control. The invention provides a heating unit capable of heating a wafer/substrate on a holder to a desired state using a heater element having increased directivity in the vertical direction. The configuration of the proposed heating unit permits thermal gradients to be controlled across the wafer area at process temperatures typically greater than 250C. In one configuration, the independent heater elements can be isolated from each other, permitting greater thermal gradients across a wafer than can be achieved by a solid block heater typical of conventional systems. In other embodiments, a non-planar configuration can be implemented. For example, heater elements can be configured next to and/or above the wafer edge to compensate for lateral heat loss and emissivity losses from chamber walls. Raising the heater element above the surface of the wafer provides more control over thermal gradients across the wafer, and thus improves uniformity.

Although only certain embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

1. A wafer heating assembly comprising:

a holding device having a plurality of recesses, the holding device having a wafer support configured to support a wafer;
a plurality of heating units, wherein at least one heating unit comprises: a tube having a carbon wire heater comprising a carbon fiber bundle and sealed within the tube, each tube being mounted in a recess in the holding device, and a connecting terminal coupled to opposing ends of the carbon wire heater; and
a mounting assembly coupled to the holding device and configured to mount the wafer heating assembly to a processing chamber.

2. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a substantially straight tube mounted in a substantially straight recess in the holding device.

3. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a curved tube mounted in a curved recess in the holding device.

4. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a circular tube mounted in a circular recess in the holding device.

5. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a square tube mounted in a square recess in the holding device.

6. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a rectangular tube mounted in a rectangular recess in the holding device.

7. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises an elliptical tube mounted in an elliptical recess in the holding device.

8. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a U-shaped tube mounted in a recess in the holding device.

9. The wafer heating assembly as claimed in claim 8, wherein the recess comprises a U-shape.

10. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a plurality of segments, each segment comprising a substantially straight tube having a carbon wire heater comprising a carbon fiber bundle sealed therein, and a connecting terminal coupled to each end of each carbon wire heater, each substantially straight tube being mounted in a substantially straight recess in the holding device.

11. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a plurality of segments, each segment comprising a substantially straight tube having a carbon wire heater comprising a carbon fiber bundle sealed therein, and a connecting terminal coupled to each end of each carbon wire heater, the plurality of segments being mounted in a square recess in the holding device.

12. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a plurality of segments, each segment comprising a substantially straight tube having a carbon wire heater comprising a carbon fiber bundle sealed therein, and a connecting terminal coupled to each end of each carbon wire heater, the plurality of segments being mounted in a rectangular recess in the holding device.

13. The wafer heating assembly as claimed in claim 1, wherein at least one of said heating units comprises a plurality of segments, each segment comprising a curved tube having a carbon wire heater comprising a carbon fiber bundle sealed therein, and a connecting terminal coupled to each end of each carbon wire heater, the plurality of segments being mounted in a curved recess in the holding device.

14. The wafer heating assembly as claimed in claim 13, wherein the curved recess comprises a circular shape.

15. The wafer heating assembly as claimed in claim 13, wherein the curved recess comprises an elliptical shape.

16. The wafer heating assembly as claimed in claim 1, further comprising

a thermal barrier coupled to the holding device; and
a cooling unit coupled to the thermal barrier.

17. The wafer heating assembly as claimed in claim 1, further comprising a temperature sensor coupled to the holding device.

18. The wafer heating assembly as claimed in claim 1, wherein the heating unit further comprises transitional elements coupled to respective ends of the tube, and a sealing terminal portion coupled to the transitional elements, each connecting terminal being coupled to at least one sealing terminal portion.

19. The wafer heating assembly as claimed in claim 18, wherein the tube and the transitional elements are formed from a single piece of material.

20. The wafer heating assembly as claimed in claim 19, wherein the single piece of material comprises a quartz glass tube.

21. The wafer heating assembly as claimed in claim 18, wherein the tube is formed from a first piece of material and the transitional elements are formed from a second piece of material.

22. The wafer heating assembly as claimed in claim 21, wherein at least one of the first piece of material or the second piece of material or both the first and second pieces of material comprises a quartz glass tube.

23. The wafer heating assembly as claimed in claim 18, wherein the sealing terminal portion comprises means for sealing end portions of the transitional elements.

24. The wafer heating assembly as claimed in claim 18, wherein the sealing terminal portion comprises means for sealing end portions of the tube.

25. The wafer heating assembly as claimed in claim 18, wherein the heating unit further comprises endpoint elements coupled to opposite ends of the carbon wire heater, the endpoint elements comprising compressed wire carbon members, and the carbon wire heater being buried in the compressed wire carbon members.

26. The wafer heating assembly as claimed in claim 1, wherein the carbon wire heater comprises a carbon wire, the carbon wire comprising at least one bundle of carbon fibers, each bundle comprising at least 300 carbon fibers each having a diameter of between 5 and 15 micrometers.

27. The wafer heating assembly as claimed in claim 26, wherein the carbon wire further comprises surface fluffing.

28. The wafer heating assembly as claimed in claim 26, wherein ash content in the carbon fiber is less than 10 ppm.

29. The wafer heating assembly as claimed in claim 1, further comprising a cover coupled to the holding device.

30. The wafer heating assembly as claimed in claim 29, wherein the wafer support comprises a plurality of raised portions on the cover.

31. The wafer heating assembly as claimed in claim 30, wherein at least one raised portion comprises a temperature sensor.

32. The wafer heating assembly as claimed in claim 1, wherein the wafer support comprises a plurality of raised portions on the holding device.

33. The wafer heating assembly as claimed in claim 32, wherein at least one raised portion comprises a temperature sensor.

34. The wafer heating assembly as claimed in claim 1, wherein the wafer support comprises a quartz wafer holder having at least three support points.

35. The wafer heating assembly as claimed in claim 1, further comprising:

an additional heating unit comprising: an additional tube having a carbon wire heater comprising a carbon fiber bundle and sealed within the additional tube, and a connecting terminal coupled to opposing ends of the carbon wire heater of the additional heating unit; and
an additional mounting assembly coupled to the additional holding device and configured to position the additional heating unit above the wafer support.

36. The wafer heating assembly as claimed in claim 1, further comprising:

an additional heating unit comprising: an additional tube having a carbon wire heater comprising a carbon fiber bundle and sealed within the additional tube, and a connecting terminal coupled to opposing ends of the carbon wire heater of the additional heating unit; and
an additional mounting assembly coupled to the additional holding device and configured to position the additional heating unit substantially around the wafer support.

37. The wafer heating assembly as claimed in claim 1, further comprising:

an additional heating unit comprising: an additional tube having a carbon wire heater comprising a carbon fiber bundle and sealed within the additional tube, and a connecting terminal coupled to opposing ends of the carbon wire heater of the additional heating unit;
an additional holding device coupled to the additional tube; and
an additional mounting assembly coupled to the additional holding device and configured to position the additional heating unit above the wafer support.

38. The wafer heating assembly as claimed in claim 1, further comprising:

an additional heating unit comprising: an additional tube having a carbon wire heater comprising a carbon fiber bundle and sealed within the additional tube, and a connecting terminal coupled to opposing ends of the carbon wire heater of the additional heating unit;
an additional holding device coupled to the additional tube; and
an additional mounting assembly coupled to the additional holding device and configured to position the additional heating unit substantially around the wafer support.

39. The wafer heating assembly as claimed in claim 1, further comprising:

a second holding device having a plurality of second recesses, the second holding device having a second wafer support configured to support a second wafer;
a second plurality of heating units, wherein at least one of the second plurality of heating units comprises: a tube having a carbon wire heater comprising a carbon fiber bundle and sealed within the tube, each tube being mounted in a recess in the second holding device, and a connecting terminal coupled to opposing ends of the carbon wire heater; and
second mounting assembly coupled to the second holding device and configured to mount the second holding device to the processing chamber.

40. A method of processing a substrate, the method comprising:

positioning the substrate on a substrate holder in a processing chamber, wherein the substrate holder comprises a plurality of heating units, each heating unit comprising: a tube and a carbon wire heater having a carbon fiber bundle and sealed within the tube, each tube being mounted in a recess in the substrate holder, and a connecting terminal coupled to opposing ends of the carbon wire heate; and
performing a Rapid Thermal Process on the substrate, wherein a DC supply is coupled to each connecting terminal and DC power is rapidly applied to the carbon wire heater.

41. A wafer heating assembly comprising:

means for supporting a semiconductor wafer;
means for independently heating different regions of said semiconductor wafer; and
means, coupled to the means for holding, for mounting the wafer heating assembly to a processing chamber.

42. A method of processing a substrate comprising independently controlling multiple carbon wire heater zones with independent temperature sensors to provide a multiple zone, single wafer heater system with rapid response to each independent zone, said control being tunable to minimize wafer warpage from rapid thermal changes.

43. A method of processing a substrate comprising using carbon wire heater elements as a substrate support to minimize thermal mass and permit thermal changes rapid enough for processing control by increments of rapid thermal cycles.

44. A method of processing a substrate comprising using carbon wire heater elements on opposing sides of the substrate, said heater elements having multiple independent heater zones and rapid thermal response from either heater element and one or more heater zones.

45. The wafer heating assembly as claimed in claim 1, further comprising alternate cooling loops corresponding to the carbon wire heater elements to increase the speed of the thermal response, the cooling loops configured to flow gas for cooling or other, thermally compatible coolant material or fluids.

Patent History
Publication number: 20050217799
Type: Application
Filed: Mar 31, 2004
Publication Date: Oct 6, 2005
Applicant: TOKYO ELECTRON LIMITED (Minato-Ku)
Inventors: David O'Meara (Poughkeepsie, NY), Gerrit Leusink (Saltpoint, NY), Stephen Cabral (Pine Plains, NY), Anthony Dip (Cedar Creek, TX), Cory Wajda (Hopewell Junction, NY), Raymond Joe (Austin, TX)
Application Number: 10/813,119
Classifications
Current U.S. Class: 156/345.520; 118/725.000