Process and apparatus for post deposition treatment of low k dielectric materials

-

Methods and apparatus are provided for processing a substrate with an ultraviolet curing process. In one aspect, the invention provides a method for processing a substrate including depositing a silicon carbide dielectric layer on a substrate surface and curing the silicon carbide dielectric layer with ultra-violet curing radiation. The silicon carbide dielectric layer may comprise a nitrogen containing silicon carbide layer, an oxygen containing silicon carbide layer, or a phenyl containing silicon carbide layer. The silicon carbide dielectric layer may be used as a barrier layer, an etch stop, or as an anti-reflective coating in a damascene formation technique.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims benefit of U.S. provisional Patent Application Ser. No. 60/569,373, filed May 6, 2004, which applications are herein incorporated by reference.

BACKGROUND OF THE DISCLOSURE

1. Field of the Invention

The invention relates to the fabrication of integrated circuits, more specifically to a process for forming dielectric layers on a substrate, and to the structures formed by the dielectric layer.

2. Description of the Related Art

Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices that will fit on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.35 μm and even 0.18 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries.

To further reduce the size of devices on integrated circuits, it has become necessary to use conductive materials having low resistivity and to use insulators having low dielectric constants (dielectric constants of less than 4.0) to also reduce the capacitive coupling between adjacent metal lines. One such low k material is silicon oxycarbide deposited by a chemical vapor deposition process and silicon carbide, both of which may be used as dielectric materials in fabricating damascene features.

One conductive material having a low resistivity is copper and its alloys, which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 μΩ-cm for copper compared to 3.1 μΩ-cm for aluminum), a higher current and higher carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.

One difficulty in using copper in semiconductor devices is that copper is difficult to etch and achieve a precise pattern. Etching with copper using traditional deposition/etch processes for forming interconnects has been less than satisfactory. Therefore, new methods of manufacturing interconnects having copper containing materials and low k dielectric materials are being developed.

One method for forming vertical and horizontal interconnects is by a damascene or dual damascene method. In the damascene method, one or more dielectric materials, such as the low k dielectric materials, are deposited and pattern etched to form the vertical interconnects, for example, vias, and horizontal interconnects, for example, lines. Conductive materials, such as copper containing materials, and other materials, such as barrier layer materials used to prevent diffusion of copper containing materials into the surrounding low k dielectric, are then inlaid into the etched pattern. Any excess copper containing materials and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed.

However, low k dielectric materials are often porous and susceptible to interlayer diffusion of conductive materials, such as copper, which can result in the formation of short-circuits and device failure. A dielectric barrier layer material is often disposed between the copper material and surrounding the low k material to prevent interlayer diffusion. However, traditional dielectric barrier layer materials, such as silicon nitride, often have high dielectric constants of 7 or greater. The combination of such a high k dielectric material with surrounding low k dielectric materials results in dielectric stacks having a higher than desired dielectric constant.

Further when silicon oxycarbide layers or silicon carbide layers that contain nitrogen are used as the low k material in damascene formation, it can be difficult to produced aligned features with little or no defects. It has also been observed that resist materials deposited on the silicon oxycarbide layers or the silicon carbide layers may be contaminated with nitrogen deposited with the silicon oxycarbide layers or the silicon carbide layers or from nitrogen diffusing therethough. For example, reaction of organosilicon compounds with nitrous oxide can contaminate the silicon oxycarbide layer with nitrogen or the nitrogen in nitrogen-doped silicon carbide layers may diffuse through adjacent layers as amine radicals (—NH2) to react with the resist materials.

Resist materials contaminated with nitrogen becomes less sensitive to radiation. Resist material exposed to other compounds, such as basic radicals including hydroxyl groups (—OH) may also decrease the sensitivity of the resist material. The decrease in the sensitivity to radiation is referred to as “resist poisoning”. Any resist material that is not sensitive to radiation is not removed by subsequent resist stripping processes and remains as residue. The remaining residue of resist material is referred to as “footing”. This residue can result in detrimentally affecting subsequent etching processes and result in misaligned and malformed features.

Therefore, there remains a need for an improved process for depositing dielectric material and resist materials for layering techniques, such as damascene applications.

SUMMARY OF THE INVENTION

Aspects of the invention generally provide a method for depositing and treating a dielectric material having a low dielectric constant as a barrier layer, an etch stop, or an anti-reflective coating. In one aspect, the invention provides a method for processing a substrate including depositing a silicon carbide dielectric layer on a substrate surface and curing the silicon carbide dielectric layer with ultra-violet curing radiation.

In another aspect, the invention provides a method for processing a substrate including depositing a first dielectric layer on the substrate by introducing a processing gas comprising a nitrogen containing compound and an organosilicon compound into a processing chamber, reacting the processing gas to deposit a first dielectric layer, wherein the first dielectric layer comprises silicon, carbon, and nitrogen, and has a dielectric constant less than 5, and curing the first dielectric layer with ultra-violet curing radiation.

In another aspect, a method is provided for processing a substrate including depositing a nitrogen-doped dielectric layer on the substrate, curing the nitrogen-doped dielectric layer with ultra-violet radiation, depositing a dielectric layer comprising silicon, oxygen, and carbon, on the nitrogen-doped dielectric layer, depositing a resist on the dielectric layer comprising silicon, oxygen, and carbon.

In another aspect, an apparatus is provided for processing a substrate including a tandem-process chamber and a source of ultraviolet radiation disposed on the tandem-processing chamber.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.

It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 is a cross sectional view showing a dual damascene structure comprising a low k barrier layer and a low k dielectric layer described herein;

FIGS. 2A-2H are cross sectional views showing one embodiment of a dual damascene deposition sequence of the invention; and

FIG. 3 is a plan view of one embodiment of a tandem semiconductor processing system.

For a further understanding of aspect of the invention, reference should be made to the ensuing detailed description.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

The words and phrases used herein should be given their ordinary and customary meaning in the art by one skilled in the art unless otherwise further defined. The following deposition processes are described with use of the 300 mm Producer™ dual deposition station processing chamber commercially available from Applied Materials Inc., of Santa Clara Calif., of which one example is shown in FIG. 3, and should be interpreted accordingly where appropriate. For example, flow rates for the Producer™ processing chamber are total flow rates and should be divided by two to describe the process flow rates at each deposition station in the processing chamber. Additionally, it should be noted that the respective parameters may be modified to perform the plasma processes in various chambers and for different substrate sizes, such as for 200 mm substrates. Process parameters for the exposure to the ultraviolet radiation may occur in the Producer™ processing chamber or separate chamber or separate system.

Aspects of the invention described herein refer to a method and apparatus for depositing a silicon carbide containing layer having a low dielectric constant, such as a nitrogen doped silicon carbide, and treating the surface of the silicon carbide containing layer with an ultraviolet curing process. Treating of the surface of the silicon carbide containing material is believed to improve barrier properties, densify the silicon carbide containing material, limit the migration of nitrogen contaminants from the deposited material or limit the ability of nitrogen from reacting with the resist material, remove nitrogen containing compounds from the deposited material, and reduce the dielectric constant of the silicon carbide containing material. Treating the surface may further include a plasma treatment or e-beam treatment. The surface treated with the ultraviolet curing process has been observed to be less reactive with the subsequently deposited resist material thereby limiting resist poisoning and reduce defect formation when forming features in the dielectric layer. While the following description is directed to depositing and treating a nitrogen doped dielectric layer, the invention contemplates depositing and treating nitrogen free dielectric layers, such as oxygen-doped silicon carbide and silicon carbide deposited from phenyl containing precursors as described herein.

Silicon Carbide Deposition

Silicon carbide layer may be deposited by reacting a processing gas of an organosilicon compound. Silicon carbide layer include a nitrogen containing silicon carbide layer, an oxygen containing silicon carbide layer, or a phenyl containing silicon carbide layer. The silicon carbide layer may be deposited with an organosilicon compound and a reactive gas. For example, nitrogen-doped silicon carbide layers may be deposited by reacting a processing gas of the organosilicon compound and a nitrogen containing compound. The processing gas may include additional reactive compounds such as hydrogen gas. The processing gas may also include an inert gas including helium, argon, or combinations thereof.

Suitable organosilicon compounds for depositing silicon carbide materials include oxygen-free organosilicon compounds. Examples of oxygen free organosilicon compounds include phenylsilanes and aliphatic organosilicon compounds. Examples of suitable organosilicon compounds used herein for silicon carbide deposition preferably include the structure:
wherein R includes hydrogen atoms or organic functional groups including alkyl, alkenyl, cyclical, such as cyclohexyl, and aryl groups, in addition to functional derivatives thereof. The organosilicon compounds may have more than one R group attached to the silicon atom, and the invention contemplates the use of organosilicon compounds with or without Si—H bonds.

Suitable oxygen-free organosilicon compounds include oxygen-free aliphatic organosilicon compounds, oxygen-free cyclic organosilicon compounds, or combinations thereof, having at least one silicon-carbon bond. Cyclic organosilicon compounds typically have a ring comprising three or more silicon atoms. Aliphatic organosilicon compounds have linear or branched structures comprising one or more silicon atoms and one or more carbon atoms. Commercially available aliphatic organosilicon compounds include alkylsilanes. Fluorinated derivatives of the organosilicon compounds described herein may also be used to deposit the silicon carbide and silicon oxycarbide layers described herein. Methylsilanes are preferred organosilicon compounds for silicon carbide deposition.

Examples of suitable organosilicon compounds include, for example, one or more of the following compounds:

Methylsilane, CH3—SiH3 Dimethylsilane, (CH3)2—SiH2 Trimethylsilane (TMS), (CH3)3—SiH Tetramethylsilane, (CH3)4—Si Ethylsilane, CH3—CH2—SiH3 Disilanomethane, SiH3—CH2—SiH3 Bis(methylsilano)methane, CH3—SiH2—CH2—SiH2—CH3 1,2-disilanoethane, SiH3—CH2—CH2—SiH3 1,2-bis(methylsilano)ethane, CH3—SiH2—CH2—CH2—SiH2—CH3 2,2-disilanopropane, SiH3—C(CH3)2—SiH3 1,3,5-trisilano-2,4,6- —(—SiH2—CH2—)3— (cyclic) trimethylene, Diethylsilane (C2H5)2SiH2 Diethylmethylsilane (C2H5)2SiH(CH3) Propylsilane C3H7SiH3 Vinylmethylsilane (CH2═CH)(CH3)SiH2 Divinyldimethylsilane (CH2═CH)2(CH3)2Si (DVDMS) 1,1,2,2-tetramethyldisilane HSi(CH3)2—Si(CH3)2H Hexamethyldisilane (CH3)3Si—Si(CH3)3 1,1,2,2,3,3-hexamethyltrisilane H(CH3)2Si—Si(CH3)2—SiH(CH3)2 1,1,2,3,3-pentamethyltrisilane H(CH3)2Si—SiH(CH3)—SiH(CH3)2 Dimethyldisilanoethane CH3—SiH2—(CH2)2—SiH2—CH3 Dimethyldisilanopropane CH3—SiH2—(CH2)3—SiH2—CH3 Tetramethyldisilanoethane (CH)2—SiH—(CH2)2—SiH—(CH)2 Tetramethyldisilanopropane (CH3)2—SiH—(CH2)3—SiH—(CH3)2

Suitable organosilicon compounds further include alkyl and/or cyclical organosilicon compounds having carbon to silicon atom ratios (C:Si) of 5:1 or greater, such as 8:1 or 9:1. Alkyl functional groups having higher carbon alkyl groups, such as ethyl and iso-propyl functional groups, for example, dimethylisopropylsilane (5:1), diethylmethylsilane (5:1), tetraethylsilane (8:1), dibutylsilanes (8:1), tripropylsilanes (9:1), may be used. Cyclical organosilicons, such as cyclopentylsilane (5:1) and cyclohexylsilane (6:1), including cyclical compounds having alkyl groups, such as ethylcyclohexylsilane (8:1) and propylcyclohexylsilanes (9:1) may also be used for the deposition of silicon carbon layers.

Phenyl containing organosilicon compounds, such as phenylsilanes may also be used for depositing the silicon carbide materials and generally include the structure:
wherein R is a phenyl group. For example, suitable phenyl containing organosilicon compounds generally include the formula SiHa(CH3)b(C6H5)c, wherein a is 0 to 3, b is 0 to 3, and c is 1 to 4, and a+b+c is equal to 4. Examples of suitable compounds derived from this formula include diphenylsilane (DPS), dimethylphenylsilane (DMPS), diphenylmethylsilane, phenylmethylsilane, and combinations thereof. Preferably used are phenyl containing organosilicon compounds with b is 1 to 3 and c is 1 to 3. The most preferred phenyl organosilicon compounds for deposition as barrier layer materials include organosilicon compounds having the formula SiHa(CH3)b(C6H5)c, wherein a is 1 or 2, b is 1 or 2, and c is 1 or 2. Examples of preferred phenyl compounds include dimethylphenylsilane and diphenylmethylsilane.

An example of a phenyl containing silicon carbide deposition process includes supplying dimethylphenylsilane, to a plasma processing chamber at a flow rate between about 10 milligrams/minute (mgm) and about 1500 mgm, for example, about 750 mgm, supplying hydrogen gas at a flow rate between about 10 sccm and about 2000 sccm, for example, about 500 sccm, supplying an inert gas at a flow rate between about 10 sccm and about 10000 sccm, for example, about 1500 sccm, maintaining a substrate temperature between about 0° C. and about 500° C., for example, about 350° C., maintaining a chamber pressure below about 500 Torr, for example, about about 6 Torr, and an RF power of between about 0.03 watts/cm2 and about 1500 watts/cm2, for example, about 200 watts at a gas distributor positioned between about 300 mils and about 600 mils, for example, about 450 mils, form the substrate surface during the deposition process.

The RF power can be provided at a high frequency, such as between 13 MHz and 14 MHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. The processing gas may be introduced into the chamber by a gas distributor, the gas distributor may be positioned between about 200 mils and about 700 mils from the substrate surface. Additionally, the RF power may also be provided at low frequencies, such as 356 kHz, for depositing silicon carbide material.

Example processes for depositing a phenyl containing silicon carbide layer is disclosed in U.S. Pat. No. 6,759,327, issued on Jul. 6, 2004, and U.S. Pat. No. 6,790,788, issued on Sep. 14, 2004, which are incorporated by reference to the extent not inconsistent with the claims and disclosure described herein.

Nitrogen doped silicon carbide may be deposited by the reaction of the organosilicon compounds described herein with a nitrogen containing compound. The nitrogen containing compound may be a nitrogen-containing gas, for example, ammonia (NH3), a mixture of nitrogen gas and hydrogen gas, or combinations thereof, in the processing gas. The nitrogen doped silicon carbide layer generally includes less than about 20 atomic percent (atomic %) of nitrogen. The nitrogen containing compound may be introduced into the processing chamber at a flow rate between about 50 sccm and about 10,000 sccm. The nitrogen doped silicon carbide layer may further be oxygen doped by the processes described herein.

Alternatively, the nitrogen containing compound may comprise silicon and nitrogen containing compounds. Suitable silicon and nitrogen containing compounds include compounds having Si—N—Si bonding groups, such as silazane compounds, may be used in the processing gas for doping the deposited silicon carbide material with nitrogen. Compounds having bonded nitrogen, such as in the silazane compounds, can improve the hardness of layers as well as reduced the current leakage of the layers. Examples of suitable silizane compounds includes aliphatic compounds, such as hexamethyldisilazane and divinyltetramethyldisilizane, as well as cyclic compounds, such as hexamethylcyclotrisilazane.

One embodiment of a deposition of nitrated silicon carbide layer comprises supplying an organosilicon precursor, for example trimethylsilane, at a flow rate between about 10 sccm and about 1000 sccm, such as between about 50 sccm and about 500 sccm, for example, about 350 sccm, supplying reducing compounds including nitrogen containing compounds, to a processing chamber at a flow rate between about 100 sccm and about 2500 sccm, such as, between about 500 sccm and about 2000 sccm, for example, ammonia at 700 sccm, and optionally, supplying a hydrogen and/or an inert (noble) gas to a processing chamber at a flow rate between about 1 sccm and about 10,000 sccm respectively, for example, about 1200 sccm of helium, optionally supplying an oxygen-containing compound to a processing chamber at a flow rate between about 100 sccm and about 2500 sccm for an oxygen and nitrogen doped silicon carbide layer, for example, between about 500 sccm and about 2000 sccm, maintaining a chamber pressure between about 100 milliTorr and about 100 Torr, such as, between about 2.5 Torr and about 9 Torr, for example, 3.7 Torr, maintaining a heater temperature between about 100° C. and about 500° C., such as between about 250° C. and about 450° C., for example, about 350° C., positioning a gas distributor, or “showerhead”, between about 200 mils and about 1000 mils, for example, 280 mils from the substrate surface, and optionally, generating a plasma.

The plasma may be generated by applying a power density ranging between about 0.03 W/cm2 and about 6.4 W/cm2, which is a RF power level of between about 10 W and about 2000 W for a 200 mm substrate, for example, between about 500 W and about 1100 W, for example, 900 watts, at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz. The plasma may be generated by applying a power density ranging between about 0.01 W/cm2 and about 2.8 W/cm2, which is a RF power level of between about 10 W and about 2000 W for a 300 mm substrate, for example, between about 500 W and about 1100 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz.

The power may be applied from a dual-frequency RF power source a first RF power with a frequency in a range of about 10 MHz and about 30 MHz at a power in a range of about 200 watts to about 1000 watts and at least a second RF power with a frequency in a range of between about 100 KHz and about 500 KHz as well as a power in a range of about 1 watt to about 200 watts. The initiation layer may be deposited for a period of time between about 1 second and 60 seconds, for example between about 1 and about 5 seconds, such as 2 seconds.

Example processes for depositing a nitrogen containing silicon carbide layer is disclosed in U.S. Pat. No. 6,764,958, issued on Jul. 20, 2004, and U.S. Pat. No. 6,537,733, issued on Mar. 25, 2003, which are incorporated by reference to the extent not inconsistent with the claims and disclosure described herein.

The processing gas may further include hydrogen gas (H2) or an inert gas, or combinations thereof. Suitable inert gases include a noble gas selected from the group of argon, helium, neon, xenon, or krypton, and combinations thereof. The hydrogen gas may be added at a molar ratio of organosilicon compound to hydrogen gas of between about 1:1 and about 10:1, such as between about 1:1 and about 6:1. Preferred deposition processes for oxygen-free organosilicon compounds and hydrogen gas has a molar ratio of oxygen-free organosilicon compound to hydrogen gas of between about 1:1 and about 1.5:1. Generally, the flow rate hydrogen gas (H2) and/or an inert gas is between about 50 sccm and about 20,000 sccm.

The silicon carbide layer may also be doped with boron and/or phosphorus to improve layer properties, and generally includes less than about 15 atomic percent (atomic %) or less of dopants. Boron doping of the low k silicon carbide layer may be performed by introducing borane (BH3), or borane derivatives thereof, such as diborane (B2H6), into the chamber during the deposition process. Boron doping of the silicon carbide layer preferably comprises between about 0.1 wt. % and about 4 wt. % of boron.

Phosphorus containing dopants may be used in the processing gases at a ratio of dopant to organosilicon compound between about 1:5 or greater, such as between about 1:5 and about 1:100. Phosphorus doping of the low k silicon carbide layer may be performed by introducing phosphine (PH3), triethylphosphate (TEPO), triethoxyphosphate (TEOP), trimethyl phosphine (TMP), triethyl phosphine (TEP), and combinations thereof, into the chamber during the deposition process. It is believed that dopants may reduce the dielectric constant of the deposited silicon carbide material. The doped silicon carbide layer may comprise between about 0.1 wt. % and about 15 wt. % of phosphorus, for example, between about 1 wt. % and about 4 wt. % of phosphorus.

Silicon carbide layers may further include oxygen. Oxygen-doped silicon carbide layers typically include less than about 15 atomic percent (atomic %) of oxygen, preferably having between about 3 atomic % and about 10 atomic % of oxygen. Oxygen doped silicon carbide layers may be deposited with oxygen containing compounds including oxygen and carbon containing compounds, such as oxygen containing gases and oxygen containing organosilicon compounds. The oxygen-containing gas and the oxygen-containing organosilicon compound described herein are considered non-oxidizing gases as compared to oxygen or ozone. Materials that are described as silicon oxycarbide or carbon-doped silicon oxide generally comprises between about 15 atomic % or greater of oxygen in the layer and are deposited from oxidizing gases.

Preferred oxygen-containing gases generally have the formula CXHYOZ, with x being between 0 and 2, Y being between 0 and 2, where X+Y is at least 1, and Z being between 1 and 3, wherein X+Y+Z is 3 or less. The oxygen-containing gas may include carbon dioxide, carbon monoxide, or combinations thereof; and may additionally include water. The oxygen-containing gas is typically an inorganic material.

Alternatively, oxygen-doped silicon carbide layers may be deposited with oxygen-containing organosilicon compounds to modify or change desired layer properties by controlling the oxygen content of the deposited silicon carbide layer. Suitable oxygen-containing organosilicon compounds include oxygen-containing aliphatic organosilicon compounds, oxygen-containing cyclic organosilicon compounds, or combinations thereof. Oxygen-containing aliphatic organosilicon compounds have linear or branched structures comprising one or more silicon atoms and one or more carbon atoms, and the structure includes silicon-oxygen bonds.

Oxygen-containing cyclic organosilicon compounds typically have a ring comprising three or more silicon atoms and the ring may further comprise one or more oxygen atoms. Commercially available oxygen-containing cyclic organosilicon compounds include rings having alternating silicon and oxygen atoms with one or two alkyl groups bonded to each silicon atom. Preferred oxygen-containing organosilicon compounds are cyclic compounds.

One class of oxygen-containing organosilicon compounds include compounds having Si—O—Si bonding groups, such as organosiloxane compounds. Compounds with siloxane bonds provide silicon carbide layers with bonded oxygen that can reduce the dielectric constant of the layer as well as reduce the current leakage of the layer.

Suitable oxygen-containing organosilicon compounds include, for example, one or more of the following compounds:

Dimethyldimethoxysilane (DMDMOS), (CH3)2—Si—(OCH3)2, Diethoxymethylsilane (DEMS), (CH3)—SiH—(OCH3)2, 1,3-dimethyldisiloxane, CH3—SiH2—O—SiH2—CH3, 1,1,3,3-tetramethyldisiloxane (TMDSO), (CH3)2—SiH—O—SiH—(CH3)2, Hexamethyldisiloxane (HMDS), (CH3)3—Si—O—Si—(CH3)3, Hexamethoxydisiloxane (HMDSO), (CH3O)3—Si—O—Si—(OCH3)3, 1,3-bis(silanomethylene)disiloxane, (SiH3—CH2—SiH2—)2—O, Bis(1-methyldisiloxanyl)methane, (CH3—SiH2—O—SiH2—)2—CH2, 2,2-bis(1-methyldisiloxanyl)propane, (CH3—SiH2—O—SiH2—)2—C(CH3),2 1,3,5,7-tetramethylcyclotetrasiloxane (TMCTS), —(—SiHCH3—O—)4— (cyclic), Octamethylcyclotetrasiloxane (OMCTS), —(—Si(CH3)2—O—)4— (cyclic), 1,3,5,7,9-pentamethylcyclopentasiloxane, —(—SiHCH3—O—)5— (cyclic), 1,3,5,7-tetrasilano-2,6-dioxy-4,8-dimethylene, —(—SiH2—CH2—SiH2—O—)2 Hexamethylcyclotrisiloxane —(—Si(CH3)2—O—)3— (cyclic) 1,3-dimethyldisiloxane, CH3—SiH2—O—SiH2—CH3 Hexamethylcyclotrisiloxane (HMDOS) —(—Si(CH3)2—O—)3— (cyclic),

and fluorinated hydrocarbon derivatives thereof. The above lists are illustrative and should not be construed or interpreted as limiting the scope of the invention.

When oxygen-containing organosilicon compounds and oxygen-free organosilicon compounds are used in the same processing gas, a molar ratio of oxygen-free organosilicon compounds to oxygen-containing organosilicon compounds between about 4:1 and about 1:1 is generally used. An example process for depositing an oxygen containing silicon carbide layer is disclosed in U.S. patent application Ser. No. 10/196,498, filed on Jul. 15, 2002, which is incorporated by reference to the extent not inconsistent with the claims and disclosure described herein.

An oxygen-doped silicon carbide layer may be deposited in one embodiment by supplying organosilicon compounds, such as trimethylsilane, to a plasma processing chamber at a flow rate between about 10 milligrams/minute (mgm) and about 1500 mgm, for example about 160 mgm or sccm, supplying an oxidizing gas at a flow rate between about 10 sccm and about 2000 sccm, for example, about 700 sccm, supplying a noble gas at a flow rate between about 1 sccm and about 10000 sccm, for example, about 400 sccm, maintaining a substrate temperature between about 0° C. and about 500° C., for example, about 350° C., maintaining a chamber pressure below about 500 Torr, for example, about 2.5 Torr, at about and an RF power of between about 0.03 watts/cm2 and about 1500 watts/cm2, for example about 200 Watts with a gas distributor may be positioned between about 200 mils and about 700 mils, for example about 320 mils, from the substrate surface.

The RF power can be provided at a high frequency such as between 13 MHz and 14 MHz or a mixed frequency of the high frequency and the low frequency. For example, a high frequency of about 13.56 MHz may be used as well as a mixed frequency of high frequency of about 13.56 MHz and low frequency of about 356 KHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. Additionally, a low frequency RF power may be applied during the deposition process. For example, an application of less than about 300 watts, such as less than about 100 watts at between about 100 KHz and about 1 MHz, such as 356 KHz may be used to modify film properties, such as increase the compressive stress of a SiC film to reduce copper stress migration.

Additional materials, such as an organic compounds, may also be present during the deposition process to modify or change desired layer properties. For example, organic compounds, such as aliphatic hydrocarbon compounds may also be used in the processing gas to increase the carbon content of the deposited silicon carbide materials. Suitable aliphatic hydrocarbon compounds include compounds having between one and about 20 adjacent carbon atoms. The hydrocarbon compounds can include adjacent carbon atoms that are bonded by any combination of single, double, and triple bonds.

Suitable organic compounds may include alkenes and alkynes having two to about 20 carbon atoms, such as ethylene, propylene, acetylene, and butadiene. Further examples of suitable hydrocarbons include t-butylethylene, 1,1,3,3-tetramethylbutylbenzene, t-butylether, metyl-methacrylate (MMA), t-butylfurfurylether, and combinations thereof. Organic compounds containing functional groups including oxygen and/or nitrogen containing functional groups may also be used. For example, alcohols, including ethanol, methanol, propanol, and iso-propanol, may be used for depositing the silicon carbide material.

Silicon carbide material are generally deposited by supplying an organosilicon compound to a plasma processing chamber at a flow rate between about 10 sccm and about 1500 sccm, supplying a dopants, such as a nitrogen containing compounds including as ammonia and oxygen containing compounds, at a flow rate between about 10 sccm and about 2500 sccm, supplying additional gases, such as an inert gas and/or hydrogen, to the processing chamber at a flow rate between about 10 sccm and about 10000 sccm, respectively, maintaining the chamber at a heater temperature between about 0° C. and about 500° C., maintaining a chamber pressure between about 100 milliTorr and about 100 Torr, positioning a gas distributor between about 200 mils and about 700 mils from the substrate surface, and generating a plasma.

The plasma may be generated power levels may be by applying a power density ranging between about 0.03 W/cm2 and about 6.4 W/cm2, which is a RF power level of between about 10 W and about 2000 W for a 200 mm substrate, for example, between about 100 W and about 400 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz. The plasma may be generated power levels may be by applying a power density ranging between about 0.01 W/cm2 and about 2.8 W/cm2, which is a RF power level of between about 10 W and about 2000 W for a 300 mm substrate, for example, between about 100 W and about 400 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. Alternatively, all plasma generation may be performed remotely, with the generated radicals introduced into the processing chamber for plasma treatment of a deposited material or deposition of a material layer.

Alternatively, the plasma may be generated by a dual-frequency RF power source. The power may be applied from a dual-frequency RF power source a first RF power with a frequency in a range of about 10 MHz and about 30 MHz at a power, for example, in a range of about 100 watts to about 1000 watts and at least a second RF power with a frequency in a range of between about 100 KHz and about 500 KHz as well as a power, for example, in a range of about 1 watt to about 200 watts. The above process parameters provide a deposition rate for the silicon carbide layer in the range of about 500 Å/min to about 20,000 Å/min, such as a range between about 100 Å/min and about 3000 Å/min.

Suitable processing systems for performing the processes described herein are a DxZ™ chemical vapor deposition chamber or Producer™ processing system, both of which are commercially available from Applied Materials, Inc., Santa Clara, Calif.

The above process parameters provide a deposition rate for the silicon carbide layer or nitrogen doped silicon carbide layer in the range of about 500 Å/min to about 20,000 Å/min, such as a range between about 100 Å/min and about 3000 Å/min, when implemented on a 200 mm (millimeter) substrate in a deposition chamber available from Applied Materials, Inc., Santa Clara, Calif.

Ultraviolet Curing

The deposited silicon carbide material may then be cured by an ultraviolet curing process. Silicon carbide material cured using the ultraviolet curing process has shown an improved barrier layer properties and reduced and minimal resist poisoning. The ultraviolet curing process may be performed in situ within the same processing chamber or system, for example, transferred from one chamber to another without break in a vacuum. The following ultraviolet curing process is illustrative, and should not be construed or interpreted as limiting the scope of the invention.

Exposure to an ultraviolet radiation source may be performed as follows. The substrate is introduced into a chamber, which may include the deposition chamber, and a deposited silicon carbide layer, including nitrogen-doped silicon carbide materials, is exposed to between about 0.01 milliWatts/cm2 and about 1 watts/cm2 of ultraviolet radiation, for example, between about 0.1 milliWatts/cm2 and about 10 milliwatts/cm2. The ultraviolet radiation may comprise a range of ultraviolet wavelengths, and include one or more simultaneous wavelength. Suitable ultraviolet wavelengths include between about 1 nm and about 400 nm, and may further include optical wavelengths up to about 600 or 780 nm. The ultraviolet wavelengths between about 1 nm and about 400 nm, may provide a photon energy (electroVolts) between about 11.48 (eV) and about 3.5 (eV). Preferred ultraviolet wavelengths include between about 100 nm and about 350 nm.

Further, the ultraviolet radiation application may occur at multiple wavelengths, a tunable wavelength emission and tunable power emission, or a modulation between a plurality of wavelengths as desired, and may be emitted from a single UV lamp or applied from an array of ultraviolet lamps. Examples of suitable UV lamps include a Xe filled Zeridex™ UV lamp, which emits ultraviolet radiation at a wavelength of about 172 nm or the Ushio Excimer UV lamp, or a Hg Arc Lamp, which emits ultraviolet radioation at wave. The deposited silicon carbide layer is exposed to the ultraviolet radiation for between about 10 seconds and about 600 seconds.

During processing, the temperature of the processing chamber may be maintained at between about 0° C. and about 450° C., for example, between about 20° C. and about 400° C. degrees Celsius, for example about 25° C., and at a chamber pressure between vacuum, for example, less than about 1 mTorr up to about atmospheric pressure, i.e., 760 Torr, for example at about 100 Torr. The source of ultraviolet radiation may be between about 100 mils and about 600 mils from the substrate surface. Optionally, a processing gas may be introduced during the ultraviolet curing process. Suitable processing gases include oxygen (O2), nitrogen (N2), hydrogen (H2), helium (He), argon (Ar), water vapor (H2O), carbon monoxide, carbon dioxide, hydrocarbon gases, fluorocarbon gases, and fluorinated hydrocarbon gases, or combinations thereof. The hydrocarbon compounds may have the formula CXHY, CXFY, CXFYHZ, or combinations thereof, with x an integer between 1 and 6, y is an integer between 4 and 14, and z is an integer between 1 and 3.

An example of an ultraviolet process is as follows. A substrate having a nitrogen doped silicon carbide layer is exposed to ultraviolet radiation at a chamber temperature about 400° C., an applied power of about 10 mW/cm2 at a wavelength of about 172 nm for about 120 seconds in an argon atmosphere at atmosphere pressure (about 760 Torr).

Alternative Post-Deposition Treatment:

The deposited silicon carbide material may also be exposed to an anneal, a plasma treatment or other post-deposition treatment process. The anneal, plasma treatment, or other process may be performed before the ultraviolet curing process, after the ultraviolet curing process, or both before and after, with the before and after combination being the same or different processes. The post-deposition treatments may be performed in situ with the deposition of the silicon carbide material without breaking vacuum in a processing chamber or processing system.

Annealing the deposited material may comprise exposing the substrate at a temperature between about 100° C. and about 400° C. for between about 1 minute and about 60 minutes, preferably at about 30 minutes, to reduce the moisture content and increase the solidity and hardness of the dielectric material. Annealing is preferably performed after the deposition of a subsequent material or layer that prevents shrinkage or deformation of the dielectric layer. The annealing process is typically formed using inert gases, such as argon and helium, but may also include hydrogen or other non-oxidizing gases. The above described annealing process is preferably used for low dielectric constant materials deposited from processing gases without meta-stable compounds. The anneal process is preferably performed prior to the subsequent deposition of additional materials. Preferably, an in-situ (i.e., inside the same chamber or same processing system without breaking vacuum) post treatment is performed.

The annealing process is preferably performed in one or more cycles using helium. The annealing process may be performed more than once, and variable amounts of helium and hydrogen may be used in multiple processing steps or annealing steps. The anneal energy may be provided by the use of heat lamps, infer-red radiation, such as IR heating lamps, or as part of a plasma anneal process. Alternatively, a RF power may be applied to the annealing gas between about 200 W and about 1,000 W, such as between about 200 W and about 800 W, at a frequency of about 13.56 MHz for a 200 mm substrate.

Alternatively, or additionally, the deposited silicon carbide layer may be plasma treated to remove contaminants or other wise clean the exposed surface of the silicon carbide layer prior to subsequent deposition of materials thereon. The plasma treatment may be performed in the same chamber used to deposit the silicon and carbon containing material. The plasma treatment is also believed to improve film stability by forming a protective layer of a higher density material than the untreated silicon carbide material. The higher density silicon carbide material is believed to be more resistive to chemical reactions, such as forming oxides when exposed to oxygen, than the untreated silicon carbide material.

The plasma treatment generally includes providing an inert gas including helium, argon, neon, xenon, krypton, or combinations thereof, of which helium is preferred, and/or a reducing gas including hydrogen, ammonia, and combinations thereof, to a processing chamber. The inert gas or reducing gas is introduced into the processing chamber at a flow rate between about 500 sccm and about 3000 sccm, preferably between about 1000 sccm and about 2500 sccm of hydrogen, and generating a plasma in the processing chamber.

The plasma may be generated using a power density ranging between about 0.03 W/cm2 and about 3.2 W/cm2, which is a RF power level of between about 10 W and about 1000 W for a 200 mm substrate. Preferably, at a power level of about 100 watts for a silicon carbide material on a 200 mm substrate. The RF power can be provided at a high frequency such as between 13 MHz and 14 MHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. Alternatively, the RF power may also be provided at low frequencies, such as 356 kHz, for plasma treating the depositing silicon carbide layer.

The processing chamber is preferably maintained at a chamber pressure of between about 1 Torr and about 12 Torr, for example about 3 Torr. The substrate is preferably maintained at a temperature between about 200° C. and about 450° C., preferably between about 290° C. and about 400° C., during the plasma treatment. A heater temperature of about the same temperature of the silicon carbide deposition process, for example about 290° C., may be used during the plasma treatment. The plasma treatment may be performed between about 10 seconds and about 100 seconds, with a plasma treatment between about 40 seconds and about 60 seconds preferably used. The processing gas may be introduced into the chamber by a gas distributor, the gas distributor may be positioned between about 200 mils and about 500 mils from the substrate surface. The gas distributor may be positioned between about 300 mils and about 600 mils during the plasma treatment.

The hydrogen containing plasma treatment is believed to further reduce the dielectric constant of the low k dielectric layer by about 0.1. The plasma treatment is believed to clean contaminants from the exposed surface of the silicon carbide material and may be used to stabilize the layer, such that it becomes less reactive with moisture and/or oxygen under atmospheric condition as well as the adhesion of layers formed thereover.

One example of a post deposition plasma treatment for a silicon carbide layer includes introducing ammonia at a flow rate of 950 sccm into the processing chamber, maintaining the chamber at a heater temperature of about 350° C., maintaining a chamber pressure of about 3.7 Torr, positioning a gas distributor at about 280 mils from the substrate surface, and applying a RF power of about 300 watts at 13.56 MHz for about two seconds.

However, it should be noted that the respective parameters may be modified to perform the plasma processes in various chambers and for different substrate sizes, such as 300 mm substrates. An example of a plasma treatment for a silicon and carbon containing film is further disclosed in U.S. patent application Ser. No. 09/336,525, entitled, “Plasma treatment to Enhance adhesion and to Minimize Oxidation of Carbon-Containing Layers,” filed on Jun. 18, 1999, which is incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects of the invention described herein.

Alternatively, the silicon carbide layer may also be treated by depositing a silicon carbide cap layer or silicon oxide cap layer prior to depositing a resist material. The cap layer may be deposited at a thickness between about 100 Å and about 500 Å. The use of a cap layer is more fully described in co-pending U.S. patent application Ser. No. 09/977,008, entitled “Method Of Eliminating Resist Poisoning In Damascene Applications”, filed on Oct. 11, 2001, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure described herein.

Electron Beam Treatment

In another aspect of the invention, the deposited silicon carbide material may be cured by an electronic beam (e-beam) technique in addition to or as an alternative to ultraviolet radiation treatment described herein. Silicon carbide material cured using an e-beam technique has shown an unexpected reduction in k value and an unexpected increase in hardness, not capable with conventional curing techniques. The e-beam treatment may be performed in situ within the same processing system, for example, transferred from one chamber to another without break in a vacuum. The following e-beam apparatus and process are illustrative, and should not be construed or interpreted as limiting the scope of the invention.

The temperature at which the electron beam apparatus 200 operates ranges from about −200 degrees Celsius to about 600 degrees Celsius, for example, about 400 degrees Celsius. An e-beam treatment of a silicon carbide layer may comprise the application or exposure to between about 1 micro coulombs per square centimeter (μC/cm2) and about 6,000 μC/cm2, for example, between about 1 μC/cm2 and about 400 μC/cm2, and more preferably less than about 200 μC/cm2, such as about 70 μC/cm2, at energy ranges between about 0.5 kiloelectron volts (KeV) and about 30 KeV, for example between about 1 KeV and about 3 kiloelectron volts (KeV). The electron beams are generally generated at a pressure of about 1 mTorr to about 200 mTorr.

The gas ambient in the electron beam chamber 220 may be an inert gas, including nitrogen, helium, argon, xenon, an oxidizing gas including oxygen, a reducing gas including hydrogen, a blend of hydrogen and nitrogen, ammonia, or any combination of these gases. The electron beam current ranges from about 1 mA to about 40 mA, and more preferably from about 5 mA to about 20 mA. The electron beam may cover an area from about 4 square inches to about 700 square inches. Although any e-beam device may be used, one exemplary device is the EBK chamber, available from Applied Materials, Inc., of Santa Clara Calif.

A general example of an e-beam process is as follows. A substrate having a 3000 Å thick layer is exposed to an e-beam at a chamber temperature about 400 degrees Celsius, an applied electron beam energy of about 3.5 KeV, and at an electron beam current of about 5 mA, with an exposure dose of the electron beam of about 500 mC/cm2.

Further description of an e-beam process for silicon carbon materials is more fully described in co-pending U.S. Pat. No. 6,790,788, issued on Sep. 14, 2004, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure described herein.

Deposition of a Barrier Layer for a Dual Damascene Structure

The ultraviolet cured silicon carbide layer, including nitrogen doped silicon carbide layers may be used as barrier layers, etch stop, and anti-reflective coating/passivation layers in damascene formation, of which use as a barrier layer is preferred. Interlayer dielectric layers for use in low k damascene formations having silicon carbide layer formed as described herein include dielectric layers having silicon, oxygen, and carbon, and a dielectric constant of less than about 3. The adjacent dielectric layers for use with the barrier layer material described herein have a carbon content of about 1 atomic percent or greater excluding hydrogen atoms, preferably between about 5 and about 30 atomic percent excluding hydrogen atoms, and have oxygen concentrations of about 15 atomic % or greater.

The adjacent dielectric layer may be deposited by oxidizing an organosiliane compound in a plasma enhanced chemical vapor deposition technique. For example, a suitable adjacent dielectric material may be deposited by reacting trimethylsilane and oxygen in a plasma enhanced chemical vapor deposition technique, with the plasma formed under conditions including a high frequency RF power density from about 0.16 W/cm2 to about 0.48 W/cm2. Examples of methods and uses for the adjacent dielectric layers comprising silicon, oxygen, and carbon, having a dielectric constant of less than about 3 are more further described in U.S. Pat. No. 6,054,379, issued May 25, 2000, U.S. Pat. No. 6,287,990, issued Sep. 11, 2001, and U.S. Pat. No. 6,303,523, issued on Oct. 16, 2001, which are incorporated by reference herein to the extent not inconsistent with the disclosure and claimed aspects described herein. An example of a dielectric layer comprising silicon, oxygen, and carbon, having a dielectric constant of less than about 3 is Black Diamond™ dielectric materials commercially available from Applied Materials, Inc., of Santa Clara, Calif.

The embodiments described herein for depositing silicon carbide layers adjacent low k dielectric layers are provided to illustrate the invention and the particular embodiment shown should not be used to limit the scope of the invention.

An example of a damascene structure that is formed using the silicon carbide material described herein as a barrier layer is shown in FIG. 1. A silicon carbide barrier layer 110, such as nitrogen-doped silicon carbide, is deposited and post deposition treated with ultraviolet radiation as described herein on the substrate surface to eliminate inter-level diffusion between the substrate and subsequently deposited materials. The substrate surface may comprise metal features 107, such as copper features, formed in a dielectric material 105. Optionally, a second barrier layer of a oxygen containing silicon carbide layer or a phenyl containing silicon carbide layer as described herein may be deposited on the silicon carbide barrier layer 110.

A first dielectric layer 112, comprising silicon, oxygen, and carbon, as described herein, is deposited on the silicon carbide barrier layer 110. An etch stop (or second barrier layer) 114 of a silicon carbide material, such as the nitrogen and/or oxygen doped silicon carbide material described herein, is then deposited on the first dielectric layer 112 and treated with ultraviolet radiation as described herein. The etch stop 114 is then pattern etched using conventional techniques to define the openings 116 of the interconnects or contacts/vias.

A second dielectric layer 118 is then deposited over the patterned etch stop. A resist is then deposited and patterned by conventional means known in the art to define the contacts/vias openings 116. A resist material may include an energy based reist material including deep ultraviolet (DUV) resist materials as well as e-beam resist materials.

A single etch process is then performed to define the contacts/vias openings 116 down to the etch stop and to etch the unprotected dielectric exposed by the patterned etch stop to define the contacts/vias openings 116. One or more conductive materials 120 such as copper are then deposited to fill the formed contacts/vias openings 116. While not shown, an optional silicon carbide layer, may be deposited on the second dielectric layer 118 and treated with ultraviolet radiation as described herein prior to deposition of the resist material. The optional silicon carbide layer may perform as a anti-reflective coating, a passivation layer, or both. The optional silicon carbide layer is preferably a nitrogen free silicon carbide material, and the invention contemplates that a nitrogen doped silicon carbide layer with the ultraviolet curing may also be used.

A preferred dual damascene structure fabricated in accordance with the invention including a silicon carbide barrier layer deposited by the processes described herein is sequentially depicted schematically in FIGS. 2A-2H, which are cross sectional views of a substrate having the steps of the invention formed thereon.

As shown in FIG. 2A, a nitrogen doped silicon carbide barrier layer 110 is deposited on the substrate surface from the processes described herein. The silicon carbide barrier layer 110 may be deposited by introducing ammonia at a flow rate of 700 sccm into the processing chamber, introducing helium at a flow rate of 1200 sccm into the processing chamber, introducing trimethylsilane (TMS) at a flow rate of about 350 sccm, maintaining the chamber at a heater temperature of about 350° C., maintaining a chamber pressure of about 3.7 Torr, positioning a gas distributor at about 280 mils from the substrate surface, and applying a RF power of about 900 watts at 13.56 MHz, to deposit a silicon carbide layer. The silicon carbide material is deposited at about 1300 Å/min by this process. The deposited silicon carbide layer has a dielectric constant of about 3.5.

The silicon carbide barrier layer 110 may then be treated to the ultraviolet curing as described herein or another or additional post deposition process, such as an anneal or e-beam or plasma treated as described herein. The ultraviolet cure treatment may be performed in situ with the deposition of the silicon carbide material. Such an ultraviolet cure treatment is believed to harden and stabilize the layer, such that it becomes less reactive with moisture and/or oxygen under atmospheric condition as well as the adhesion of layers formed thereover. An example of an ultraviolet curing includes exposing the silicon carbide barrier layer 110 exposed to ultraviolet radiation at a chamber temperature about 25° C., an applied power of about 10 mW/cm2 at a wavelength of about 172 nm for about 120 seconds. Alternatively, the processing chamber is maintained at a pressure and at a heater temperature of about the pressure and heater temperature during the silicon carbide barrier deposition process during the ultraviolet curing.

Alternatively, or additionally, a capping layer (not shown) of a nitrogen free silicon carbide material may be deposited on the silicon carbide barrier layer 110. The nitrogen free silicon carbide capping layer may be deposited in situ on the silicon carbide barrier layer 110. The capping layer is preferably deposited after any e-beam or plasma treatment of silicon carbide barrier layer 110.

The first dielectric layer 112 of interlayer dielectric material is deposited on the first silicon carbide barrier layer 110 by oxidizing an organosilane or organosiloxane, such as trimethylsilane. The first dielectric layer 112 may be deposited to a thickness of about 5,000 Å to about 15,000 Å, depending on the size of the structure to be fabricated. An example of a low dielectric constant material that may be used as an interlayer dielectric material is Black Diamond™ dielectric commercially available from Applied Materials, Inc., of Santa Clara, Calif. Alternatively, the first dielectric layer may also comprise other low k dielectric material such as a low k polymer material including paralyne or a low k spin-on glass such as un-doped silicon glass (USG) or fluorine-doped silicon glass (FSG).

As shown in FIG. 2B, the low k etch stop 114, which may be a silicon carbide material as described herein, is then deposited on the first dielectric layer. The etch stop may be deposited to a thickness between about 200 Å and about 1000 Å. The low k etch stop 114 may be deposited from the same precursors and by the same process as the silicon carbide barrier layer 110. The low k etch stop 114 may be treated as described herein for the silicon carbide barrier layer 110. A capping layer (not shown) may also be deposited on the low k etch stop 114 as described for the silicon carbide barrier layer 100 described herein.

The low k etch stop 114 may then pattern etched to define the contact/via openings 116 and to expose first dielectric layer 112 in the areas where the contacts/vias are to be formed as shown in FIG. 2C. Preferably, the low k etch stop 114 is pattern etched using conventional photolithography and etch processes using fluorine, carbon, and oxygen ions. While not shown, a nitrogen-free silicon carbide or silicon oxide cap layer between about 100 Å and about 500 Å thick may be deposited on the etch stop 114 prior to depositing further materials.

After the low k etch stop 114 has been etched to pattern the contacts/vias and the resist has been removed, a second dielectric layer 118 of silicon oxycarbide is deposited. The second dielectric layer may be deposited to a thickness between about 5,000 and about 15,000 Å as shown in FIG. 2D. The second dielectric layer 118 may be deposited as described for the first dielectric layer 112 as well as comprise the same materials used for the first dielectric layer 112. The first and second dielectric layer 118 may also be treated as described herein for silicon carbide barrier layer 110.

In an alternative embodiment, a nitrogen-free silicon carbide or silicon oxide cap layer may be deposited on second dielectric layer 118 prior to depositing additional materials, such as resist materials. Such a layer may be deposited between about 100 Å and about 500 Å thick. In a further alternative embodiment, a silicon carbide cap layer (not shown) may be deposited from the same precursors are by the same process as the silicon carbide barrier layer 110 on the second dielectric layer 118 prior to depositing additional materials, such as resist materials.

A resist material 122 is then deposited on the second dielectric layer 118 (or optional ARC layer or passivation layer as described with regard to FIG. 1) and patterned preferably using conventional photolithography processes to define the copper material 120 interconnect lines as shown in FIG. 2E. The resist material 122 comprises a material conventionally known in the art, preferably a high activation energy resist, such as UV-5, commercially available from Shipley Company Inc., of Marlborough, Mass. The interconnects and contacts/vias are then etched using reactive ion etching or other anisotropic etching techniques to define the metallization structure (i.e., the interconnect and contact/via) as shown in FIG. 2F. Any resist or other material used to pattern the etch stop 114 or the second dielectric layer 118 is removed using an oxygen strip or other suitable process.

The metallization structure is then formed with a conductive material such as aluminum, copper, tungsten or combinations thereof. Presently, the trend is to use copper to form the smaller features due to the low resistivity of copper (1.7 mΩ-cm compared to 3.1 mΩ-cm for aluminum). Preferably, as shown in FIG. 2G, a suitable barrier layer 124 for copper, such as tantalum or tantalum nitride, is first deposited conformally in the metallization pattern to prevent copper migration into the surrounding silicon and/or dielectric material. Thereafter, copper 126 is deposited using chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure. Once the structure has been filled with copper or other metal, the surface is planarized using chemical mechanical polishing, as shown in FIG. 2H.

Apparatus

FIG. 3 is a plan view of one embodiment of a semiconductor tandem-chamber processing system 300 in which embodiments of the invention may be used to advantage. The arrangement and combination of chambers may be altered for purposes of performing specific fabrication process steps. Sources of the ultraviolet radiation may be disposed on various locations of the processing tool.

The tandem-chamber processing system 300 is a self-contained system having the necessary processing utilities supported on a mainframe structure 301 which can be easily installed and which provides a quick start up for operation. The tandem-chamber processing system 300 generally includes four different regions, namely, a front end staging area 302 where substrate cassettes 309 are supported and substrates are loaded into and unloaded from a loadlock chamber 312, a transfer chamber 311 housing a substrate handler 313, a series of tandem-processing chambers 306 mounted on the transfer chamber 311 and a back end 338 which houses the support utilities needed for operation of the tandem-chamber processing system 300, such as a gas panel 303, and the power distribution panel 305 for RF power generators 307. The tandem processing chambers include two processing regions 318 for processing substrates. The system can be adapted to accommodate various processes and supporting chamber hardware such as CVD, PVD, etch, and the like.

Sources of ultraviolet radiation 340, 342 may be disposed on the tandem-processing chambers 306 or the loadlock chamber 312 to integrate with a system processing regime. Alternatively, a source of ultraviolet radiation may be used in the place of one of the tandem-tandem-processing chambers 306. Further, the source of ultraviolet radiation may be position ex situ of the tandem-chamber processing system 300. The source of ultraviolet radiation may be an ultraviolet lamp, an ultraviolet laser, an ultraviolet electron beam, an ultraviolet imaging system, such as a DUV resist imaging system, or other form of ultraviolet radiation emitter.

The above apparatus is one embodiment of a Producer™ processing system, commercially available from Applied Materials, Inc., of Santa Clara Calif., suitable for chemical vapor deposition of materials, such as the silicon carbide materials described herein. The plan-view in FIG. 3, is provided for illustrative purposes, and FIG. 3 and the corresponding description should not be interpreted or construed as limiting the scope of the invention. An example of the processing described herein is further detailed in commonly owned U.S. Pat. No. 6,591,850, issued on Jul. 15, 2003, which is incorporated by reference to the extent not inconsistent with the disclosure and claimed aspects herein.

While the foregoing is directed to preferred embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

1. A method for processing a substrate, comprising:

depositing a silicon carbide dielectric layer on a substrate surface; and
curing the silicon carbide dielectric layer with ultra-violet curing radiation.

2. The method of claim 1, wherein the silicon carbide dielectric layer comprises a nitrogen doped silicon carbide layer deposited by a method comprising:

introducing a processing gas comprising a nitrogen containing compound and an oxygen-free organosilicon compound into a processing chamber; and
reacting the processing gas by a plasma enhanced process.

3. The method of claim 2, wherein the nitrogen containing compound comprises ammonia, a silazane, a mixture of hydrogen and nitrogen gas, or combinations thereof.

4. The method of claim 1, wherein the silicon carbide dielectric layer comprises an oxygen doped silicon carbide layer deposited by a method comprising:

introducing a processing gas comprising a carbon and oxygen containing compound and an oxygen-free organosilicon compound into a processing chamber; and
reacting the processing gas by a plasma enhanced process.

5. The method of claim 4, wherein the carbon and oxygen containing compound comprises carbon dioxide and the oxygen-free organosilicon compound comprises trimethylsilane.

6. The method of claim 1, wherein the silicon carbide dielectric layer comprises a phenyl containing silicon carbide layer deposited by a method comprising:

introducing a processing gas comprising a phenyl containing organosilicon compound and hydrogen gas; and
reacting the processing gas by a plasma enhanced process.

7. The method of claim 6, wherein the phenyl containing organosilicon compound comprise dimethylphenylsilane.

8. The method of claim 1, wherein the curing the first dielectric layer comprises applying ultraviolet radiation between about 0.1 milliWatts/cm2 and about 1 watts/cm2 at between about 100 nm and about 400 nm to the first dielectric layer for a period between about 10 seconds and about 600 seconds.

9. A method for processing a substrate, comprising:

introducing a processing gas comprising a nitrogen containing compound and an organosilicon compound into a processing chamber;
reacting the processing gas to deposit a first dielectric layer, wherein the first dielectric layer comprises silicon, carbon, and nitrogen, and has a dielectric constant less than 5; and
curing the first dielectric layer with ultra-violet curing radiation.

10. The method of claim 9, further comprising depositing a second dielectric layer adjacent the first dielectric layer, wherein the second dielectric layer comprises silicon, oxygen, and carbon and has a dielectric constant of about 3 or less.

11. The method of claim 10, further comprising depositing a resist material on the second dielectric layer.

12. The method of claim 9, wherein the nitrogen containing compound comprises nitrogen gas, ammonia, a silazane, or combinations thereof, and the organosilicon compound comprises methylsilanes, phenylsilanes, or combinations thereof.

13. The method of claim 9, wherein the curing the first dielectric layer comprises applying ultraviolet radiation between about 0.1 milliWatts/cm2 and about 1 watts/cm2 at between about 100 nm and about 400 nm to the first dielectric layer for a period between about 10 seconds and about 600 seconds.

14. The method of claim 13, wherein the curing the first dielectric layer further comprises introducing a processing gas selected from the group of oxygen (O2), nitrogen (N2), hydrogen (H2), helium (He), argon (Ar), water vapor (H2O), carbon monoxide, carbon dioxide, a hydrocarbon gas, a fluorocarbon gas, a fluorinated hydrocarbon gas, or combinations thereof.

15. A method for processing a substrate, comprising:

depositing a nitrogen-doped dielectric layer on the substrate;
curing the nitrogen-doped dielectric layer with ultra-violet radiation;
depositing a dielectric layer comprising at least silicon and carbon on the nitrogen-doped dielectric layer;
depositing a resist on the dielectric layer comprising at least silicon and carbon.

16. The method of claim 15, wherein the dielectric layer comprising at least silicon and carbon comprises an oxygen-doped silicon carbide layer or a phenyl containing silicon carbide layer.

17. The method of claim 15, wherein the dielectric layer comprising at least silicon and carbon comprises silicon, oxygen, and carbon.

18. The method of claim 17, further comprising:

patterning and etching the resist layer to expose the dielectric layer comprising at least silicon and carbon; and then
etching the dielectric layer comprising silicon, oxygen, and carbon, to form at least a portion of a damascene definition.

19. The method of claim 18, further comprising depositing one or more conductive materials in the damascene definition to form a damascene structure.

20. The method of claim 16, further depositing a dielectric layer comprising silicon, oxygen, and carbon on the dielectric layer comprising at least silicon and carbon.

21. The method of claim 15, wherein the depositing the nitrogen-doped dielectric layer on the substrate, the treating the surface of the dielectric layer comprising silicon and carbon by exposing the nitrogen-doped dielectric layer to the ultra-violet curing technique, and the depositing the dielectric layer comprising at least silicon and carbon on the nitrogen-doped dielectric layer are performed in situ.

22. The method of claim 15, wherein the nitrogen-doped dielectric layer is deposited by a method comprising:

introducing a processing gas comprising a nitrogen containing compound and an organosilicon compound into a processing chamber; and
reacting the processing gas to deposit the first dielectric layer, wherein the first dielectric layer comprises silicon, carbon, and nitrogen, and has a dielectric constant less than 5.

23. The method of claim 22, wherein the nitrogen containing compound comprises ammonia, a silazane, a mixture of hydrogen and nitrogen gas, or combinations thereof and the organosilicon compound comprises methylsilanes, phenylsilanes, or combinations thereof, and the processing gas further comprises an inert gas selected from the group of argon, helium, and combinations thereof.

24. The method of claim 13, wherein the curing the nitrogen doped dielectric layer comprises applying ultraviolet radiation between about 0.1 milliWatts/cm2 and about 1 watts/cm2 at between about 100 nm and about 400 nm to the first dielectric layer for a period between about 10 seconds and about 600 seconds.

25. The method of claim 20, wherein the curing the nitrogen doped dielectric layer comprises introducing a processing selected from the group of oxygen (O2), nitrogen (N2), hydrogen (H2), helium (He), argon (Ar), water vapor (H2O), carbon monoxide, carbon dioxide, a hydrocarbon gas, a fluorocarbon gas, a fluorinated hydrocarbon gas, and combinations thereof.

26. An apparatus for processing a substrate comprising:

a tandem-process chamber; and
a source of ultraviolet radiation disposed on the tandem-processing chamber.

27. The apparatus of claim 26, wherein the source of ultraviolet radiation comprises an ultraviolet lamp, an ultraviolet laser, an ultraviolet electron beam, or an ultraviolet imaging system.

28. The apparatus of claim 26, wherein the source of ultraviolet radiation provides ultraviolet radiation between about 0.1 milliWatts/cm2 and about 1 watts/cm2 at between about 100 nm and about 400 nm.

29. The apparatus of claim 26, wherein the tandem-process chamber comprises two processing regions.

30. The apparatus of claim 26, wherein the tandem-process chamber is coupled to a transfer chamber, and the transfer chamber is coupled to a loadlock chamber and is coupled to a backend comprising a gas panel, a power distribution panel, and a RF power generator.

Patent History
Publication number: 20050250346
Type: Application
Filed: May 5, 2005
Publication Date: Nov 10, 2005
Applicant:
Inventor: Francimar Schmitt (Santa Clara, CA)
Application Number: 11/123,265
Classifications
Current U.S. Class: 438/778.000; 438/786.000; 29/25.010