Load lock chamber with substrate temperature regulation

-

A load lock chamber and method for regulating the temperature of substrates positioned within a chamber are provided. In one embodiment, the load lock chamber is configured to remove gases heated during venting of the load lock chamber. In another embodiment, the load lock chamber is configured to provide a cross flow of vent gases. In yet another embodiment, the load lock chamber includes a resistive heating element configured to uniformly head substrates positioned within the load lock chamber.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATION

This application is related to U.S. patent application Ser. No. 10/832,795, entitled “LOAD LOCK CHAMBER FOR LARGE AREA SUBSTRATE PROCESSING SYSTEM”, filed Apr. 26, 2004, which is hereby incorporated by reference in its entirety.

BACKGROUND OF THE INVENTION

1. Field of the Invention

Embodiments of the invention generally relate to a load lock chamber having substrate temperature regulation and methods of operation of the same.

2. Description of the Related Art

Thin film transistors (TFT) formed by flat panel technology are commonly used for active matrix displays such as computer and television monitors, cell phone displays, personal digital assistants (PDAs), and an increasing number of other devices. Generally, flat panels comprise two glass plates having a layer of liquid crystal materials sandwiched therebetween. At least one of the glass plates includes one conductive film disposed thereon that is coupled to a power source. Power, supplied to the conductive film from the power source, changes the orientation of the crystal material, creating a pattern display.

With the marketplace's acceptance of flat panel technology, the demand for larger displays, increased production and lower manufacturing costs have driven equipment manufacturers to develop new systems that accommodate larger size glass substrates for flat panel display fabricators. Current glass processing equipment is generally configured to accommodate substrates slightly greater than about one square meter. Processing equipment configured to accommodate larger substrate sizes is envisioned in the immediate future.

Equipment to fabricate such large substrates represents a substantial investment to flat panel display fabricators. Conventional systems require large and expensive hardware. In order to offset this investment, high substrate throughput is very desirable.

Heating and/or cooling of the substrate within the load lock chamber is important to achieving high system throughput. Moreover, as cleanrooms generally operate at humidity levels greater than 50 percent to minimize static electricity, hot substrates entering the load lock chamber must be cooled carefully to avoid promoting condensation thereon. Condensation is undesirable as moisture often contaminates subsequent processing steps. As future processing systems are envisioned to process even larger size substrates, the need for improved load lock chambers capable of rapid transfer of large area substrates is of great concern.

Thus, there is a need for an improved load lock chamber.

SUMMARY OF THE INVENTION

A load lock chamber and method for regulating the temperature of substrates positioned therein are provided. In one embodiment, a load lock chamber is configured to remove gases heated during venting of the load lock chamber. In another embodiment, a load lock chamber is configured to provide a flow of vent gases across the surface of a substrate. In yet another embodiment, a load lock chamber includes a resistive heating element configured to uniformly heat substrates positioned within the load lock chamber.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 is a sectional view of one embodiment of load lock chamber;

FIGS. 2A-B are side sectional views of alternative embodiments of a load lock chamber of the present invention;

FIG. 3 is a top sectional view of the load lock chamber of FIG. 1;

FIGS. 4A-B are perspective views of two embodiments of a temperature regulating plate;

FIG. 5 is another embodiment of a temperature regulating plate;

FIG. 6 is one embodiment of a method for regulating temperature of a substrate;

FIG. 7 is another embodiment of a method for regulating temperature of a substrate;

FIG. 8 is a top plan view of one embodiment of a processing system for processing large area substrates;

FIG. 9 is a side sectional view of one embodiment of a multiple chamber load lock chamber;

FIG. 10 is a side sectional view of the load lock chamber of FIG. 9 taken along section line 10-10;

FIGS. 11A-B are partial sectional views of a substrate support of the load lock chamber of FIG. 9;

FIG. 12 is a sectional view of one embodiment of an alignment mechanism; and

FIGS. 13-14 are sectional views of another embodiment of an alignment mechanism.

To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures. It is contemplated that elements of one embodiment may be advantageously utilized in other embodiments without further recitation.

DETAILED DESCRIPTION

A load lock chamber configured to regulate the temperature of substrates positioned therein is provided. The embodiments described herein promote rapid transfer between ambient and vacuum environments while minimizing particulate generation and the threat of condensation.

FIG. 1 depicts one embodiment of a load lock chamber 100 of the present invention. The load lock chamber 100 includes a body 102 fabricated from a rigid material such as stainless steel, aluminum or other suitable material. The body 102 may be fabricated from a single piece of material, or an assembly of components fabricated into a leak-free structure. The body 102 includes a top 104, a bottom 106, and sidewalls 108, 110, 112, and 114. The fourth sidewall 114, which is positioned opposite the first sidewall 112, is illustrated in FIG. 3.

At least one substrate access port 116 is disclosed in each of the sidewalls 112 and 114 to allow entry and egress of substrates from an internal volume 120 of the chamber body 102. The substrate access ports 116 are selectively sealed by slit valves, which are well known in the art. One slit valve that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 10/867,100, entitled CURVED SLIT VALVE DOOR, filed Jun. 14, 2004 by Tanase, et al., and is incorporated by reference in its entirety.

A substrate support structure 118 is disposed in the internal volume 120 defined by the body 102. The substrate support structure 118 generally is configured to support one or more substrates 122 being transferred between an ambient and a vacuum environment separated by the load lock chamber 100. Although the substrate support structure 118 depicted in FIG. 1 is illustrated supporting a single substrate 122, it is contemplated that other substrate support structures may also benefit from the invention.

For example, as depicted in FIG. 2A, a load lock chamber 210 includes a substrate support structure 218 in the form of a cassette 220. The cassette 220 includes a plurality of substrate support slots 224, each configured to retain a single substrate 122 therein. The cassette 220 is typically coupled to a lift mechanism 222 that selectively positions one of the substrates disposed in a predetermined slot 224 of the cassette 220 in alignment with the substrate access ports 216 formed in a body 212 of the load lock chamber 210. One load lock chamber having a cassette disclosed therein which may be adapted to benefit from the invention is described in U.S. Pat. No. 5,607,009, issued Mar. 4, 1997 to Turner et al., and is incorporated by reference in its entirety. In a second example depicted in FIG. 2B, a load lock chamber 230 includes a substrate support structure 238 having multiple substrate support plates 232. Each substrate support plate 232 is configured to support a single substrate 122 thereon. Such substrate support plates may be configured with an optional lift mechanism 222 to align a selected substrate 122 with a substrate access port 216. Alternatively, the substrate support structure 238 may be fixed within the chamber 230, requiring a robot making the wafer exchange to provide the vertical motion necessary to lift the substrate off the support plate 232. One load lock chamber which may be adapted to benefit from the invention having similar substrate support structure is described in U.S. patent Ser. No. 09/957,784, entitled DOUBLE DUAL SLOT LOAD LOCK FOR PROCESS EQUIPMENT, filed Sep. 21, 2001 by Kurita et al., and is incorporated by reference in its entirety. It is contemplated that load lock chambers of other configurations may also benefit from the invention.

Returning to the embodiment depicted in FIG. 1, the substrate support structure 118 includes a plate 124 and a plurality of pins 126. The pins 126 are coupled to the bottom 106 of the body 102, and extend through holes 132 formed in the body in the plate 124. The plate 124 is typically fabricated from aluminum or other suitable material.

A lift mechanism 138 is provided to control the elevation of the plate 124 within the internal volume 120 of the load lock chamber 100. In a lower position, the distal end of the pins 126 extend beyond an upper surface 136 of the plate 124, thereby supporting the substrate 122 in a spaced-apart relation relative to the plate 124. The lift mechanism 138 may selectively raise the plate 124 to an upper position such that the distal end of the pins 126 are recessed below the upper surface 136 of the plate 124, thereby causing the substrate 122 to be supported on the upper surface of the plate 124.

In one embodiment, the lift mechanism 138 generally includes an actuator 140 coupled to the plate 124 by a bar 142. The actuator 140 may be a pneumatic cylinder, a ball screw or other actuator suitable for controlling the elevation of the substrate support structure 118. The bar 142 generally extends from the plate 124 through an aperture 176 formed in the sidewall of the body 102. A portion of the bar 142 disclosed outside the body 102 is enclosed by a housing 144.

In one embodiment, a portion of the actuator 140 extends through the housing 144 and is coupled to the bar. A bellows or other suitable seal is engaged between the housing 144 and at least one of the actuator 140 or the bar 142 to prevent leakage through the aperture 176 and to maintain the leak-tight integrity of the load lock chamber 100.

In one embodiment, the substrate support structure 118 may be configured as a temperature regulating plate 124. The temperature regulating plate 124 is adapted, to control the temperature of the substrate 122 positioned thereon or proximate thereto. For example, the plate 124 may include a plurality of passages 130 coupled to a fluid source 128. The fluid source 128 provides a heat transfer fluid that is circulated through the passages 130 to heat (or cool) the substrate 122. To maximize heat transfer between the plate 124 and the substrate 122, the plate 124 may be elevated to support the substrate 122 directly thereon (i.e., with the pins 126 retracted below upper surface 136 of the plate 124).

The upper surface 136 of the plate 124 may include one or more slots 134. The slots 134 are configured to provide channels that provide clearance for an end effector of a robot (not shown) that is positioned under the substrate 122 during substrate handoff with the substrate support structure 118.

The load lock chamber 100 may additionally include a temperature regulating plate 166 coupled to the top 104 of the body 102. In one embodiment, the temperature regulating plate includes a resistive heater (heater 402 is shown in FIG. 4A) coupled to a power source 168. Exemplary embodiments of the temperature regulating plate 166 are described further below with reference to FIGS. 4A-B and 5.

A pressure control system 150 is coupled to the load lock chamber 100 to control the pressure within the internal volume 120 of the body 102. The pressure control system 150 generally includes a gas source 152 and an exhaust system 154. The gas source 152 is coupled to at least one inlet port 160 formed through the chamber body 102. The gas source 152 provides a vent gas utilized to raise and/or regulate pressure within the internal volume 120 of the chamber body 102. For example, the gas source 152 may flow vent gas into the internal volume 120 to facilitate transfer of the substrate 122 from a vacuum environment to an ambient environment. In one embodiment, the vent gas comprises at least one of nitrogen, helium, air or other suitable gas.

An inlet control valve 156 is disposed between the gas source 152 and the inlet port 160 to selectively control the flow of vent gases into the internal volume 120 of the body 102. The inlet control valve 156 is capable of providing a substantially leak-tight seal under vacuum conditions. In one embodiment, the gas source 152 is configured to control the attributes of the vent gas, such as the flow rate, temperature and/or humidity of the vent gas.

The exhaust system 154 is generally coupled to at least one exhaust port 162 formed through the chamber body 102. The exhaust system 154 is configured to remove gases from the internal volume 120 of the load lock chamber 100. The exhaust system 154 may include one or more vacuum pumps (not shown) and may be ultimately coupled to the facilities exhaust system (also not shown). For example, the exhaust system 154 may pump out gas from the internal volume 120 to facilitate transfer of the substrate 122 from an ambient environment to a vacuum environment.

An exhaust control valve 158 is disposed between the exhaust system 154 and the exhaust port 162 to selectively control the flow of gases exiting the internal volume 120 of the body 102. The exhaust control valve 158 is typically similar to the inlet control valve 156 and is capable of providing a substantially leak-tight seal under vacuum conditions.

In the embodiment depicted in FIG. 1, the exhaust port 162 and inlet port 160 are shown formed through opposing sidewalls 110, 108. Thus, when venting the internal volume 120, and/or during cooling of the substrate 122, a flow of vent gases (as represented by flow arrows 180) may be established across the surface of the substrate 122. The flow 180 is generally parallel to the plane of the substrate 122 and flows from one side to an opposite side of the substrate. The flow 180 generally increases the heat transfer rate between the substrate 122 and vent gases, advantageously increasing the cooling rate of the substrate. Moreover, by removing the vent gases during venting of the chamber, the vent gases heated by the substrate may be removed from the internal volume 120 of the load lock chamber 100 and replaced with cooler vent gases, thereby increasing the cooling rate of the substrate by substantially maintaining the temperature differential between the vent gas and substrate.

FIG. 3 is a schematic plan view of the load lock chamber 100 illustrating the flow 180 across the substrate 122. As stated in above with reference to FIG. 1, at least one exhaust port 162 and at least one inlet port 160 may be formed through opposing sidewalls 110, 108 of the chamber body 102. It is contemplated that a plurality of inlet ports 160 and/or a plurality of exhaust ports 162 may be utilized to tailor the profile of the flow 180 utilized to cool the substrate 122. For example as illustrated in FIG. 3, flow from each of the inlet ports 160 may be independently controlled by a dedicated flow inlet control valve 156. Optionally, and as shown in FIG. 3, the flow exiting the chamber body 102 through each of the exhaust ports 160 may be independently controlled by a dedicated exhaust control valve 158. By controlling the open/close state, and/or orifice of each of the valves 156, 158, the velocity of the flow 180 across different portions of the substrate 122 may be tailored to control the cooling rate of different portions of the substrate 122. The control of the substrate's cooling rate profile allows processors to compensate for the tendency of the substrate to cool more rapidly at the edges of the substrate, thereby facilitating uniform cooling the substrate. It is contemplated that a control valve may be coupled to more than one port. It is also contemplated that the inlet ports 160 may be distributed on more than one of the sidewalls of the body 102. It is also contemplated that the exhaust ports 162 may be distributed on more than one of the sidewalls of the body 102. It is also contemplated that some of the inlet and/or vent ports 160. 162 may be opened and/or closed over different periods of time to selectively change profile the flow 180, to initially vent the internal volume 120 of the chamber rapidly prior to creating a cross flow, and/or to remove heated vent gases during any stage of the transfer cycle through the load lock chamber 100.

In the embodiment depicted in FIG. 3, the gas source 156 is configured to individually control the temperature of the gases provided to the different inlet ports 160. For example, the gas source 156 may include a plurality of resistive heaters 350 (or other suitable temperature control device) for controlling the temperature of the vent gas traveling through each gas line 352 respectively routed to an inlet port 160. Alternatively, the heaters 350 may be interfaced with the gas lines 352 externally from the gas source 156. The heaters 350 allow the individual streams of vent gases entering the chamber body 102 through the inlet ports 160 to be set to different temperatures, thereby allowing greater flexibility in controlling the cooling rate profile of the substrate 122.

FIGS. 4A-B are perspective and top views of two embodiments of the temperature regulating plate 166. The temperature regulating plate 166 is generally fabricated from a thermally conductive or other suitable material, such as aluminum, and has a resistive heating element coupled thereto. One example of a resistive heating element 402 is depicted in FIG. 4A while another embodiment of a resistive heating element 442 is depicted in FIG. 4B.

The temperature regulating plate 166 is typically shaped to cover the plan area of the substrate. In the embodiments depicted in FIGS. 4A-B, the temperature regulating plate 166 is rectangular, having short sides 410 and long sides 412.

A plurality of holes 420 are formed through the temperature regulating plate 166 to allow fasteners 422 to pass therethrough. The fasteners 422 are utilized to couple the temperature regulating plate 166 to the top 104 of the load lock chamber 100. A stand-off 418 is disposed on each of the fasteners 422 to maintain a predefined spacing between the temperature regulating plate 166 and the top 104 of the load lock chamber 100. Optionally, the holes 420 around the perimeter of the temperature regulating plate 166 may be slotted to allow the temperature regulating plate 166 to expand and contract while maintaining a planar profile. The planar profile of the temperature regulating plate 166 beneficially maintains the spacing between the temperature regulating plate 166 and the substrate 122, thereby maintaining a predictable heating rate.

The resistive heating element 402 is generally disposed in a pattern on the plate 166. Terminals 404 are provided to couple to the power source 168. The resistive heating element 402 may be patterned to provide greater heat flux at predefined portions of the plate 166.

In the embodiment depicted in FIG. 4B, the resistive heating element 442 is configured to have a greater surface coverage (and, thus provide greater heat flux) along the sides 412 of the resistive heating element 402 relative to the center of the resistive heating element 402. This allows greater heat capacity proximate the edges of the substrate 112, which typically are difficult to maintain at a temperature proximate the center of the substrate.

FIG. 5 is a plan view of another embodiment of a temperature regulating plate 556. The plate 556 is generally similar to the plate 166 described above, except wherein the plate 556 includes zone heating control. For example, a plurality of resistive heating elements (two elements 502, 504 are illustratively shown, although any number of heating elements are contemplated) are disposed on the plate 556. Each heating element 502, 504 is independently controlled by the power source 168 so that the heating profile of the plate 556, and thus the temperature profile of the substrate 122, may be controlled. In the embodiment depicted in FIG. 5, the heating element 502 is located proximate the edges of the plate 556, while the heating element 504 is arranged primarily in an interior portion of the plate 556, thereby allowing the temperature of the substrate to be regulated independently between the substrate's edges and center region. The independent control between the zones, for example the substrate's edge and center regions, compensates for differences in the heating/cooling rates in those areas, allowing the substrate to be heated more uniformly.

FIG. 6 is a flow diagram of one embodiment of a method 600 for regulating the temperature of a substrate. The method 600 begins at step 602 by transferring a substrate 122 into the load lock chamber 100 from a vacuum environment, for example, from a transfer chamber of a cluster tool. At step 604, the substrate is isolated from the vacuum environment by sealing the substrate access port 116 with a slit valve door. At step 606, the inlet valve 156 is opened to vent the internal volume 120 of the load lock chamber 100. At step 608, the outlet valve 158 is opened to establish a flow of vent gases between the inlet port 160 and the exhaust port 162 across the surface of the substrate 122. The cross flow of vent gases across the substrate enhances the heat transfer efficiency between the vent gas and substrate, thereby cooling the substrate more rapidly. The rate and distribution of the vent gas flow across the substrate may be tailored to provide a desired temperature transfer profile as discussed above with reference to FIG. 3 by controlling which valves 156, 158 are open, and by controlling the temperature of the individual flows of vent gas entering the internal volume 120 of the load lock chamber 100 through the inlet ports 160. Optionally, at step 610, the cross flow is maintained after the pressure within the internal volume 120 of a load lock chamber 100 reaches a predefined value. At step 612, the substrate 122 is removed from the load lock chamber 100 into an ambient environment through the other substrate access port 116.

FIG. 7 is a flow diagram of another embodiment of a method 700 for regulating temperature of a substrate. The method 700 begins at step 702 by transferring a substrate 122 into the load lock chamber 100 from a vacuum environment. At step 704, the substrate is isolated from the vacuum environment by sealing the substrate access port 116 with a slit valve door. At step 706, the inlet valve 156 is opened to vent the internal volume 120 of the load lock chamber 100. At step 708, the outlet valve 158 is opened to remove vent gases heated by the substrate 122 from the internal volume 120 through the exhaust port 162. Step 708 may occur periodically, before and/or after the pressure within the internal volume 120 of a load lock chamber 100 reaches a predefined value. By removing the heated vent gas and maintaining a “fresh” supply of cool vent gas, the temperature differential between the substrate and vent gases is substantially maximized. At step 710, the substrate 122 is removed from the load lock chamber 100 into an ambient environment through the other substrate access port 116.

FIG. 8 is a top plan view of one embodiment of a process system 850 suitable for processing large area substrates (e.g., substrates having a plan area greater than about 2.7 square meter). The process system 850 typically includes a transfer chamber 808 coupled to a factory interface 812 by a load lock chamber 800 having a plurality of single substrate transfer chambers. The transfer chamber 808 has at least one dual blade vacuum robot 834 disposed therein that is adapted to transfer substrates between a plurality of circumscribing process chambers 832 and the load lock chamber 800. In one embodiment, one of the process chambers 832 is a pre-heat chamber that thermally conditions substrates prior to processing to enhance throughput of the system 850. Typically, the transfer chamber 808 is maintained at a vacuum condition to eliminate the necessity of adjusting the pressures between the transfer chamber 808 and the individual process chambers 832 after each substrate transfer.

The factory interface 812 generally includes a plurality of substrate storage cassettes 838 and a dual blade atmospheric robot 836. The cassettes 838 are generally removably disposed in a plurality of bays 840 formed on one side of the factory interface 812. The atmospheric robot 836 is adapted to transfer substrates 806 between the cassettes 838 and the load lock chamber 800. Typically, the factory interface 812 is maintained at or slightly above atmospheric pressure.

FIG. 9 is a sectional view of one embodiment of the multi-chamber load lock 800 of FIG. 8. The load lock chamber 800 has a chamber body 912 that includes a plurality of vertically-stacked, environmentally-isolated substrate transfer chambers that are separated by vacuum-tight, horizontal interior walls 914. Although three single substrate transfer chambers 920, 922, 924 are shown in the embodiment depicted in FIG. 9, it is contemplated that the chamber body 912 of load lock chamber 800 may include two or more vertically-stacked substrate transfer chambers. For example, the load lock chamber 800 may include N substrate transfer chambers separated by N-1 horizontal interior walls 914, where N is an integer greater than one.

The substrate transfer chambers 920, 922, 924 are each configured to accommodate a single large area substrate 810 so that the volume of each chamber may be minimized to enhance fast pumping and vent cycles. In the embodiment depicted in FIG. 9, each substrate transfer chamber 920, 922, 924 has an internal volume of equal to or less than about 8000 liters to accommodate substrates having a plan surface area of about 9.7 square meters. For comparison, a dual slot dual substrate transfer chamber of a conventional design described in U.S. patent application Ser. No. 09/957,784 has an internal volume of about 1600 liters. It is contemplated that a substrate transfer chamber of the present invention having a greater width and/or length and equal height may be configured to accommodate even larger substrates.

The chamber body 912 includes first sidewall 902, a second sidewall 904, a third sidewall 906, a bottom 908 and a top 910. A fourth sidewall 1002 is shown opposite the third sidewall 906 in FIG. 10. The body 912 is fabricated from a rigid material suitable for use under vacuum conditions. In one embodiment, the chamber body 912 is fabricated from a single block (e.g., one piece) of aluminum. Alternatively, the chamber body 912 may be fabricated from modular sections, each modular section generally comprising a portion of one of the substrate transfer chambers 920, 922, 924, and assembled in a fashion suitable to maintain vacuum integrity, such as continuous welds shown by dashed lines 918.

In the embodiment depicted in FIG. 9, the interior walls 914 and the remaining portions of the chamber body 912 other than the second sidewall 906 are fabricated from a single contiguous mass of material. The second sidewall 906 is sealably coupled to the other portions of the chamber body 912 to facilitate machining of the substrate transfer chambers 920, 922, 924 and to allow access to the interior portions of the chamber body 912 during fabrication and assembly.

Alternatively, the horizontal walls 914 of the chamber body 912 may be vacuum sealed to sidewalls of the chamber body 912, thereby isolating the substrate transfer chambers 920, 922, 924. For example, the horizontal walls 914 may be continuously welded to the chamber body 912 to allow greater access to the entire interior of the chamber body 912 during early assembly stages of the load lock chamber 800.

Each of the substrate transfer chambers 920, 922, 924 defined in the chamber body 912 includes two substrate access ports. The ports are configured to facilitate the entry and egress of large area substrates 810 from the load lock chamber 800. In the embodiment depicted in FIG. 9, the first substrate transfer chamber 920 bounded at the bottom 908 of the chamber body 912 includes a first substrate access port 930 and a second substrate access port 932 having a width greater than 2000 mm. The first substrate access port 930 is formed through the first sidewall 902 of the chamber body 912 and couples the first substrate transfer chamber 920 to the central transfer chamber 808 of the processing system 850. The second substrate access port 932 is formed through the second wall 904 of the chamber body 912 and couples the first substrate transfer chamber 920 to the factory interface 812. In the embodiment depicted in FIG. 9, the substrate access ports 930, 932 are disposed on opposite sides of the chamber body 912, however, the ports 930, 932 may alternatively be positioned on adjacent walls of the body 912.

Each of the substrate access ports 930, 932 is selectively sealed by a respective slit valve 926, 928 adapted to selectively isolate the first substrate transfer chamber 920 from the environments of the transfer chamber 808 and the factory interface 812. The slit valves 926, 928 are moved between an open and closed position by an actuator 942 (one actuator 942 shown in phantom in FIG. 9 is normally positioned outside the chamber body 912). In the embodiment depicted in FIG. 9, each of the slit valves 926, 928 is pivotally coupled to the chamber body 912 along a first edge and rotated between the open and closed position by the actuator 942.

The first slit valve 926 seals the first substrate access port 930 from the interior side of the first sidewall 902 and is thereby positioned within the first substrate transfer chamber 920 such that a vacuum (e.g., pressure) differential between the first substrate transfer chamber 920 and the vacuum environment of the central transfer chamber 808 assists in loading and sealing the slit valve 926 against the first sidewall 902, thereby enhancing the vacuum seal. Correspondingly, the second slit valve 928 is disposed on the exterior of the second sidewall 904 and is thereby positioned such that the pressure differential between the ambient environment of the factory interface 812 and the vacuum environment of the first substrate transfer chamber 920 assists in sealing the second substrate access port 932. Examples of slit valves that may be adapted to benefit from the invention are described in U.S. Pat. No. 5,579,718, issued Dec. 10, 1996 to Freerks and U.S. Pat. No. 6,045,620, issued Apr. 11, 2000 to Tepman et al., both of which are hereby incorporated by reference in their entireties.

The second substrate transfer chamber 922 is similarly configured with access ports 934, 936 and slit valves 926, 928. The third substrate transfer chamber 924 is similarly configured with access ports 938, 940 and slit valves 926, 928.

The substrate 810 is supported above the bottom 908 of the first substrate transfer chamber 920 and the interior walls 914 bounding the bottom of the second and third substrate transfer chambers 922, 924 by a plurality of substrate supports 944. The substrate supports 944 are configured and spaced to support the substrate 810 at an elevation above the bottom 908 (or walls 914) to avoid contact of the substrate with the chamber body 912. The substrate supports 944 are configured to minimize scratching and contamination of the substrate. In the embodiment depicted in FIG. 9, the substrate supports 944 are stainless pins having a rounded upper end 946. Other suitable substrate supports are described in U.S. Pat. No. 6,528,767, filed Mar. 11, 2003; U.S. patent application Ser. No. 09/982,406, filed Oct. 17, 2001; and U.S. patent application Ser. No. 10/376,857, filed Feb. 27, 2003, all of which are incorporated by reference in their entireties.

FIG. 10 is a sectional view of the load lock chamber 800 taken along section line 10-10 of FIG. 9. The sidewalls of each of the substrate transfer chambers 920, 922, 924 include at least one port disposed therethrough to facilitate controlling the pressure within the internal volume of each chamber. In the embodiment depicted in FIG. 10, the chamber body 912 includes an inlet port 1004 formed through the fourth sidewall 1002 and an outlet port 1006 formed through the third sidewall 906 of the chamber body 912 for venting and pumping down of the first substrate transfer chamber 920. Valves 1010, 1012 are respectively coupled to the inlet port 1004 and outlet port 1006 to selectively prevent flow therethrough. The outlet port 1006 is coupled to a vacuum pump 1008 that is utilized to selectively lower the pressure within the internal volume of the first substrate transfer chamber 920 to a level that substantially matches the pressure of the transfer chamber 808. The flow through inlet and outlet ports 1004, 1006 may be controlled to enhance cooling of substrates as described with reference to FIGS. 1-7.

Referring additionally to FIG. 9, when the pressures between the transfer chamber 808 and the first substrate transfer chamber 920 of the load lock chamber 800 are substantially equal, the slit valve 926 may be opened to allow processed substrates to be transferred to the load lock chamber 800 and substrates to be processed transferred to the transfer chamber 808 by the vacuum robot 834 through the first substrate access port 930. After placing the substrate returning from the transfer chamber 808 in the first substrate transfer chamber 920 of the load lock chamber 800, the slit valve 926 is closed and the valve 1010 is opened thereby allowing venting gas, for example N2 and/or He, into the first substrate transfer chamber 920 of the load lock chamber 800 through the inlet port and raising the pressure within the internal volume 8.10. Typically, the venting gas entering the internal volume 810 through the inlet port 1004 is filtered to minimize potential particulate contamination of the substrate. Once the pressure within the first substrate transfer chamber 920 is substantially equal to that of the factory interface 812, the slit valve 924 opens, thus allowing the atmospheric robot 836 to transfer substrates between the first substrate transfer chamber 920 and the substrate storage cassettes 838 coupled to the factory interface 812 through the second substrate access port 932.

The other substrate transfer chambers 922, 924 are similarly configured. Although each of the substrate transfer chambers 920, 922, 924 are shown with individual pumps 1008, one or more of the substrate transfer chambers 920, 922, 924 may share a single vacuum pump equipped with appropriate flow controls to facilitate selective pumping between chambers.

As the substrate transfer chambers 920, 924, 926 are configured with less than or equal to about 1000 liters of volume, the load lock chamber 800 may transfer about 840 substrates per hour at a reduced pumping rate as compared to a conventional dual substrate dual slot load lock chamber 900, as described in FIG. 9 above, which has a substrate transfer rate of about 830 substrates per hour. Increasing the pumping rate of the load lock chamber 900 to boost the throughput would result in condensation forming within the chamber. The reduced pumping rate of the present invention is between about 160-180 seconds per pump/vent cycles as compared to about 130 seconds per cycle of the load lock chamber described in the conventional design described in U.S. patent application Ser. No. 09/957,784. The substantially longer cycle reduces air velocity within the chamber, thereby reducing the probability of particular contamination of the substrate, while eliminating the condensation. Moreover, greater substrate throughput is achieved using pumps 1008 having lower capacity, which contributes to reducing the system costs.

Furthermore, due to the stacked configuration of the substrate transfer chambers, greater substrate throughput is realized without increasing the footprint of the load lock chamber more than would be necessary to transfer a single substrate. A minimized footprint is highly desirable in reducing the overall cost of the FAB. Additionally, the overall height of the load lock having three substrate transfer chambers 920, 922, 924 is less than conventional dual chambered system, further providing greater throughput in a smaller, less expensive package.

The stacked configuration also allows for predefined substrate transfer chambers to be dedicated for heating or cooling. For example, a greater number of substrate transfer chambers may be configured to heat the substrates entering the transfer chamber than cool processed substrates (and vice versa). For example the substrate transfer chambers 920, 922 may be configured to heat substrates (e.g., have a heating plate), while the substrate transfer chamber 924 may be configured to cool the substrate. In another example, the substrate transfer chambers 920, 922 may be configured to cool substrates, while the substrate transfer chamber 924 may be configured to heat the substrate.

In yet other embodiment, substrate transfers through the substrate transfer chambers (such as the chambers 920, 922, 924) may be dedicated for only heating (or cooling). In this configuration, the chambers 920, 922, 924 undergo less thermal cycling than if one or more of the chambers were utilized for heating and cooling, thereby reducing the amount of chamber expansion and contraction which may result in particle generation and/or particle release from chamber components.

The bottom 908 of the first substrate transfer chamber 920 and the interior walls 914 bounding the bottom of the second and third substrate transfer chambers 922, 924 may also include one or more grooves 1016 formed therein. As depicted in FIGS. 11A-B, the grooves 1016 are configured to provide clearance between the substrate 810 disposed on the substrate supports 944 and a robot blade 1102.

The blade 1102 (one finger of which is shown in FIGS. 11A-B) is moved into the groove 1016. Once in a predefined position within the first substrate transfer chamber 920, the blade 1102 is elevated to lift the substrate 810 from the supports 944. The blade 1102 carrying the substrate 810 is then retracted from the first substrate transfer chamber 920. The substrate 810 is placed on the substrate supports 944 in the reverse manner.

FIG. 12 is a partial sectional view of the chamber body 912 showing one embodiment of an alignment mechanism 1200 that may be utilized to urge the substrate 810 into a predefined position in the first substrate transfer chamber 920. A second alignment mechanism (not shown) is disposed in the opposite corner of the first substrate transfer chamber 920 to work in concert with the mechanism 1200 shown. Optionally, one alignment mechanism 1200 may be disposed in each corner of the first substrate transfer chamber 920. The other substrate transfer chambers 922, 926 are similarly equipped to align the substrates.

For example, the alignment apparatus 1200 may correct positional inaccuracies between a deposited position of the substrate 810 as placed by the atmospheric robot 836 on the substrate supports 944 and a predefined (i.e., designed) position of the substrate 810 relative the substrate supports 944. Having the position of the substrate 810 aligned by the alignment apparatus 1200 within the load lock chamber 800 independent from conventional correction methods that utilize the atmospheric robot 836 to adjust the substrate placement allows greater flexibility and lower system costs. For example, the support plate 860 with alignment apparatus 1200 provides greater compatibility between the load lock chamber 800 and user supplied factory interfaces 812 since the load lock chamber 800 is more tolerant to substrate position on the substrate supports 944, thereby reducing the need for robots of great precision and/or corrective robot motion algorithms generated by the factory interface provider. Moreover, as the positional accuracy designed criteria for the atmospheric robot 836 is diminished, less costly robots may be utilized.

In the embodiment of FIG. 12, the alignment mechanism includes two rollers 1202, 1204 coupled to a first end 1206 of a lever 1208. The lever 1208 extending through a slot 1218 formed through the sidewall 1002 pivots about a pin 1210. An actuator 1212 is coupled to the lever 1208 such that the rollers 1202, 1204 may be urged against adjacent edges 1214, 1216 of the substrate 810. The actuator 1212, such as a pneumatic cylinder, is generally positioned on the exterior of the chamber body 912. A housing 1220 is sealably disposed over the slot 1218 and includes bellows or other suitable seals 1122 to facilitate coupling of the actuator 1212 to the lever 1208 without vacuum leakage. The alignment mechanism 1200 and the opposing alignment mechanism (not shown) work in concert to position the substrate in a predefined position within the first substrate transfer chamber 920. Other substrate alignment mechanisms that may be utilized are described in U.S. patent application Ser. No. 10/094,156, filed Mar. 15, 2002; and U.S. patent application Ser. No. 10/084,762, filed Feb. 22, 2002, all of which are incorporated by reference in their entireties.

FIGS. 13-14 are sectional views of another embodiment of an alignment mechanism 1300. The alignment mechanism 1300 is configured to operate similar to the alignment mechanism 1200 described above. Although only one alignment mechanism 1300 is shown in FIG. 13, the alignment mechanism 1300 operates in concert with another alignment mechanism (not shown) disposed in the opposite corner of the chamber body 920. Optionally, each corner of the chamber body 900 may includes an alignment mechanism.

The alignment mechanism 1300 generally includes an interior lever 1302 coupled to an actuator 1308 by a shaft 1304 disposed through the chamber body 920. In the embodiment depicted in FIGS. 13-14, the actuator 1308 is coupled to the shaft 1304 by an exterior lever 1306. The exterior lever 1306 is coupled to a post 1420 of the shaft 1304 that extended into a recess 1402 defined in the exterior wall of the chamber body 920. The actuator 1308 may be a motor, linear actuator or other device suitable for imparting rotary motion to the shaft 1304. The interior lever 1302 rotates with the shaft 1304, thereby moving a pair of rollers 1202, 1204 extending from the lever 1302 to urge a substrate 810 (shown in phantom) into a predefined position.

The shaft 1304 passes through a horizontal wall 1312 defining the bottom of the recess 1310. The shaft 1304 is disposed through a hollow housing 1314 that is secured to the chamber body 920 by a plurality of fasteners 1316. A pair of bushings 1406, 1412 are disposed in a bore 1408 of the housing 1314 to facilitate rotation of the shaft 1304 within the housing 1314. A seal 1404 is disposed between a flange 1410 of the housing 1314 to maintain the vacuum integrity of the chamber body 920.

A plurality of seals 1414 are disposed between the shaft 1304 and housing 1314 to prevent vacuum loss. In the embodiment depicted in FIG. 14, the seals 1414 comprise three cup seals having an open end facing the exterior lever 1306. The seals 1414 are retained within the bore 1408 by a washer 1416 and retaining ring 1418.

Thus, a load lock chamber having substrate temperature control is provided. The configuration of ports providing and removing vent gases from the chamber enhance substrate cooling. Additionally, the temperature regulating plate with resistive heater facilitates good control of substrate heating while minimizing particulate generation. In one embodiment, the vertically stacked single substrate transfer chambers contributes to reduced size and greater throughput as compared to conventional state of the art, dual slot dual substrate designs. Moreover, the increased throughput has been realized at reduced pumping and venting rates, which corresponds to reduced probability of substrate contamination due to particulates and condensation.

While the foregoing is directed to the preferred embodiment of the invention, other and further embodiments of the invention may be without departing from the basic scope thereof. The scope of the n is determined by the claims which follow.

Claims

1. A load lock chamber comprising:

a chamber body having a first side adapted for coupling to a vacuum chamber and a second side adapted for coupling to a factory interface;
N vertically stacked substrate transfer chambers formed in the chamber body, where N is an integer greater than two;
N-1 interior walls, each interior wall separating and environmentally isolating adjacent substrate transfer chambers;
a substrate support disposed in each of the substrate transfer chambers;
a plate disposed above the substrate support in at least one of the substrate transfer chambers; and
a resistive heater coupled to the plate.

2. The load lock chamber of claim 1, wherein the resistive heater is configured to provide greater heat flux proximate an edge of the plate relative to a center of the plate.

3. The load lock chamber of claim 1, wherein each of the substrate transfer chambers further comprises:

a cooling plate.

4. The load lock chamber of claim 3, wherein the cooling plate further comprises:

a plurality of passages adapted to flow a heat transfer fluid therethrough.

5. The load lock chamber of claim 3, wherein the substrate supports further comprises:

a plurality of substrate support pins, at least one of the substrate support pins disposed through the cooling plate.

6. The load lock chamber of claim 5 further comprising:

an actuator coupled to the cooling plate and adapted to control the elevation of the cooling plate relative to a distal end of the substrate support pins.

7. The load lock chamber of claim 1, wherein the substrate transfer chamber has an internal volume of less than or equal to about 1000 cubic liters.

8. The load lock chamber of claim 1, wherein each of the substrate transfer chambers are adapted to accommodate a substrate having a plan area of at least 2.7 square meters.

9. The load lock chamber of claim 1, wherein at least a first chamber of the substrate transfer chambers further comprises:

at least one inlet port and at least one outlet port adapted to regulate pressure within the first chamber, wherein at least one inlet port is disposed on a sidewall of the first chamber opposite the outlet port.

10. The load lock chamber of claim 1, wherein at least a first chamber of the substrate transfer chambers further comprises:

a plurality of inlet ports;
at least two control valves coupled to the inlet ports and adapted to independently regulate flow from at least two of the inlet ports into the first chamber.

11. The load lock chamber of claim 1, wherein at least a first chamber of the substrate transfer chambers further comprises:

a plurality of inlet ports;
at least two heaters configured to independently regulate temperatures of flows from at least two of the inlet ports into the first chamber.

12. A load lock chamber comprising:

a chamber body having a first substrate transfer port and a second substrate transfer port disposed therein;
a substrate transfer chamber formed in the chamber body;
a plurality of vent ports disposed through at least one sidewall of the chamber body and fluidly coupled to the substrate transfer chamber; and
at least one pump port disposed in at least one sidewall of the chamber body and fluidly coupled to the substrate transfer chamber.

13. The load lock chamber of claim 12, wherein the pump port is disposed on a sidewall opposite at least one of the vent ports.

14. The load lock chamber of claim 12, at least one pump port further comprises:

a plurality of vent ports.

15. A method for regulating temperature of a substrate in a load lock chamber, comprising:

transferring a substrate from a vacuum environment into a load lock chamber;
sealing the load lock chamber from the vacuum environment;
flowing a vent gas into the load lock chamber to increase pressure therein;
removing a portion of the vent gas from the load lock chamber; and
opening a substrate access port between the load lock chamber and an environment having a pressure greater than the vacuum environment.

16. The method of claim 15 further comprising:

flowing vent gas between an inlet port and an outlet port while increasing the pressure within the load lock chamber.

17. The method of claim 15 further comprising:

flowing vent gas between an inlet port and an outlet port while maintaining substantially constant pressure within the load lock chamber.

18. The method of claim 17 further comprising:

flowing vent gas between an inlet port and an outlet port while increasing the pressure within the load lock chamber for a first period of time; and
flowing vent gas between the inlet port and the outlet port while maintaining substantially constant pressure within the load lock chamber for a second period of time.

19. The method of claim 15 further comprising:

flowing vent gas into the load lock chamber from a first inlet port; and
flowing vent gas into the load lock chamber from a second inlet port.

20. The method of claim 19 further comprising:

controlling a flow rate of vent gas through the first inlet port independently from a flow rate of vent gas through the second inlet port.

21. The method of claim 19 further comprising:

controlling a temperature of the vent gas flowing through the first inlet port independently from a temperature of the vent gas flowing through the second inlet port.

22. The method of claim 15, wherein the load lock chamber further comprises an upper transfer chamber, a middle transfer chamber and a lower transfer chamber, and wherein the method further comprises:

transferring all substrates to be processed from the ambient environment to the vacuum environment through the upper transfer chamber; and
transferring substrates from the vacuum environment to the ambient environment through the lower transfer chamber.

23. The method of claim 22 further comprising:

heating substrates passing through the upper transfer chamber by applying power to a resistive heater disposed in the upper transfer chamber.

24. The method of claim 22 further comprising:

transferring substrates from the vacuum environment to the ambient environment only through the lower transfer chamber and the middle transfer chamber.

25. A method for regulating temperature of a substrate in a load lock chamber, comprising:

providing a substrate in the load lock chamber sealed between a vacuum environment and an ambient environment;
flowing a gas into contact with the substrate disposed in the sealed chamber; and
removing a portion of the gas from the sealed load lock chamber.

26. The method of claim 25, wherein the steps of flowing and removing the gas further comprises:

flowing the gas from at least one inlet port across the substrate and out an exhaust port in a direction substantially parallel to a plane of the substrate.

27. The method of claim 25, wherein the step of flowing the gas into the load lock chamber further comprises:

controlling a flow rate of gas through a first inlet port independently from a flow rate of gas through a second inlet port.

28. The method of claim 25, wherein the step of flowing the gas into the load lock chamber further comprises:

controlling a temperature of the gas flowing through a first inlet port independently from a temperature of a gas flowing through a second inlet port.
Patent History
Publication number: 20070006936
Type: Application
Filed: Jul 7, 2005
Publication Date: Jan 11, 2007
Applicant:
Inventors: Akihiro Hosokawa (Cupertino, CA), Makoto Inagawa (Palo Alto, CA)
Application Number: 11/176,747
Classifications
Current U.S. Class: 141/8.000
International Classification: B65B 31/00 (20060101);