REMOVAL OF HIGH-DOSE ION-IMPLANTED PHOTORESIST USING SELF-ASSEMBLED MONOLAYERS IN SOLVENT SYSTEMS

A method and self assembled monolayer (SAM)-containing compositions for removing bulk and hardened photoresist material from microelectronic devices have been developed. The SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally a surfactant. The SAM-containing compositions effectively remove the hardened photoresist material while simultaneously passivating the underlying silicon-containing layer(s) in a one step process.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

The present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, and methods of using said compositions for removal of same.

DESCRIPTION OF THE RELATED ART

As semiconductor devices have become more integrated and miniaturized, ion implantation has been extensively employed during front-end-of-line (FEOL) processing to accurately control impurity distributions in the microelectronic device and to add dopant atoms, e.g., As, B and P, to the exposed device layers. The concentration and depth of the dopant impurity is controlled by varying the dose of the dopant, the acceleration energy, and the ion current. Prior to subsequent processing, the ion-implanted photoresist layer must be removed. Various processes have been used in the past for the removal of said hardened photoresist including, but not limited to, wet chemical etching processes, e.g., in a mixed solution of sulphuric acid and hydrogen peroxide, and dry plasma etching processes, e.g., in an oxygen plasma ashing process.

Unfortunately, when high doses of ions (e.g., doses greater than about 1×1015 atoms cm−2), at low (5 keV), medium (10 keV) and high (20 keV) implant energy, are implanted in the desired layer, they are also implanted throughout the photoresist layer, particularly the exposed surface of the photoresist, which becomes physically and chemically rigid. The rigid ion-implanted photoresist layer, also referred to as the carbonized region or “crust,” has proven difficult to remove.

Presently, the removal of the ion-implanted photoresist and other contaminants is usually performed by a plasma etch method followed by a multi-step wet strip process, typically using aqueous-based etchant formulations to remove photoresist, post-etch residue and other contaminants. Wet strip treatments in the art generally involve the use of strong acids, bases, solvents, and oxidizing agents. Disadvantageously, however, wet strip treatments also etch the underlying silicon-containing layers, such as the substrate and gate oxide, and/or increase the gate oxide thickness.

As the feature sizes continue to decrease, satisfying the aforementioned removal requirements becomes significantly more challenging using the aqueous-based etchant formulations of the prior art. Water has a high surface tension which limits or prevents access to the smaller image nodes with high aspect ratios, and therefore, removing the residues in the crevices or grooves becomes more difficult. In addition, aqueous-based etchant formulations often leave previously dissolved solutes behind in the trenches or vias upon evaporative drying, which inhibit conduction and reduce device yield. Furthermore, underlying porous low-k dielectric materials do not have sufficient mechanical strength to withstand the capillary stress of high surface tension liquids such as water, resulting in pattern collapse of the structures. Aqueous etchant formulations can also strongly alter important material properties of the low-k materials, including dielectric constant, mechanical strength, moisture uptake, coefficient of thermal expansion, and adhesion to different substrates.

Therefore, it would be a significant advance in the art to provide an improved composition that overcomes the deficiencies of the prior art relating to the removal of bulk and hardened photoresist from microelectronic devices. The improved composition shall effectively remove bulk and hardened photoresist in a one-step or multi-step process, without the need for a plasma etch step and without substantially over-etching the underlying silicon-containing layer(s).

SUMMARY OF THE INVENTION

The present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, methods of making and methods of using said compositions for removal of same, and improved microelectronic devices made using the same.

In one aspect, the invention relates to a self assembled monolayer (SAM)-containing composition, comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, wherein said SAM-containing composition is suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.

In another aspect, the present invention relates to a kit comprising, in one or more containers, SAM-containing composition reagents, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and wherein the kit is adapted to form a SAM-containing composition suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.

In a further aspect, the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time and under sufficient contacting conditions to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.

In a still further aspect, the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially passivate a silicon-containing layer underlying the photoresist material, and contacting the microelectronic device with an etchant-containing removal composition to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition comprises a non-halide containing SAM component.

In another aspect, the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition is devoid of an etchant component.

In yet another aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with an SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and optionally incorporating said cleaned microelectronic device into a product.

Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.

BRIEF DESCRIPTION OF THE DRAWINGS

FIGS. 1A-1D are atomic force micrographs of the microelectronic device surfaces at contacting times=1 min, 30 min, 1 hour and 15 hours, respectively, following contact of a SAM-containing composition including 1 mmol Cl3SiMe and 2 mmol Et3N in 10 mL of toluene, with the device surface at a contacting temperature of 70° C.

FIG. 2 illustrates the cleaning efficiency of a SAM-containing composition of the present invention as a function of temperature for four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion-implanted photoresist layer (Patterned Crust).

FIGS. 3A-3C are atomic force micrographs of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe3 (FIG. 3A), Cl2SiMe2 (FIG. 3B), and Cl3SiMe (FIG. 3C), in 2 mmol Et3N in 10 mL of toluene, with the device surface at a contacting temperature of 70° C. for 30 min.

FIGS. 4A-4C are optical microscope images (FIG. 4A) and scanning electron microscopic (SEM) images (FIGS. 4B-4C) of densely patterned, ion implanted photoresist on a microelectronic device surface.

FIGS. 5A-5C are optical microscope images of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe3 (FIG. 5A), Cl2SiMe2 (FIG. 5B), and Cl3SiMe (FIG. 5C), at 70° C. for 30 min.

FIG. 6 illustrates the removal efficiency of a SAM-containing composition of the present invention as a function of SAM functionality for the four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion-implanted photoresist layer (Patterned Crust).

FIGS. 7A-7C are optical microscope images of the control surface (FIG. 7A), the surface following cleaning and passivation using a SAM-containing composition of the invention (FIG. 7B), and the surface following depassivation according to the invention (FIG. 7C).

FIGS. 8A-8E are scanning electron micrographs of the control surface (FIG. 8A), the surface following cleaning and passivation using a SAM-containing composition of the invention (FIG. 8B), the surface following depassivation at a 90° angle view (FIG. 8C) and a 60° angle view (FIG. 8D), and a purposely over-etched surface following depassivation (FIG. 8E).

DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF

The present invention is based on the discovery of self-assembled monolayer (SAM)-containing compositions that are highly efficacious for the removal of bulk and hardened photoresist from the surface of microelectronic devices, while maintaining the integrity of the underlying silicon-containing layer(s).

“Bulk photoresist,” as used herein, corresponds to the non-carbonized photoresist on the microelectronic device surface, specifically adjacent and below the hardened photoresist crust.

“Hardened photoresist” as used herein includes, but is not limited to, photoresist that has been plasma etched, e.g., during back-end-of-line (BEOL) dual-damascene processing of integrated circuits, ion implanted, e.g., during front-end-of-line (FEOL) processing to implant dopant species in the appropriate layers of the semiconductor wafer, and/or any other methodology whereby a carbonized or highly cross-linked crust forms on the exposed surface of the bulk photoresist.

As used herein, “underlying silicon-containing” layer corresponds to the layer(s) immediately below the bulk and/or the hardened photoresist including: silicon; silicon oxide, including gate oxides (e.g., thermally or chemically grown SiO2) and TEOS; silicon nitride; and low-k silicon-containing materials. As defined herein, “low-k silicon-containing material” corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.

“Microelectronic device” corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.

As defined herein, “substantially over-etching” corresponds to greater than about 10% removal, more preferably greater than about 5% removal, and most preferably greater than about 2% removal, of the adjacent underlying silicon-containing layer(s) following contact, according to the process of the present invention, of the SAM-containing compositions of the invention with the microelectronic device having said underlying layer(s). In other words, most preferably no more than 2% of the underlying silicon-containing layer(s) are etched using the compositions of the present invention for the prescribed times.

As used herein, “about” is intended to correspond to ±5% of the stated value.

As used herein, “suitability” for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, corresponds to at least partial removal of said photoresist material from the microelectronic device. Preferably, at least 90% of the photoresist material is removed from the microelectronic device using the compositions of the invention, more preferably, at least 95%, and most preferably at least 99% of the photoresist material, is removed.

“Dense fluid,” as used herein, corresponds to a supercritical fluid or a subcritical fluid. The term “supercritical fluid” is used herein to denote a material which is under conditions of not lower than a critical temperature, Tc, and not less than a critical pressure, Pc, in a pressure-temperature diagram of an intended compound. The preferred supercritical fluid employed in the present invention is CO2, which may be used alone or in an admixture with another additive such as Ar, NH3, N2, CH4, C2H4, CHF3, C2H6, n-C3H8, H2O, N2O and the like. The term “subcritical fluid” describes a solvent in the subcritical state, i.e., below the critical temperature and/or below the critical pressure associated with that particular solvent. Preferably, the subcritical fluid is a high pressure liquid of varying density.

Importantly, the SAM-containing compositions of the present invention must possess good metal-containing material compatibility, e.g., a low etch rate on the metal-containing material. Metal-containing materials of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum, titanium and ruthenium and silicides and nitrides thereof.

Self assembled monolayers (SAMs) are known to passivate various surfaces, including, but not limited to, metals (e.g., copper, gold, etc), and oxides of titanium, hafnium, silicon, and aluminum. SAMs include silanes having at least one leaving group, e.g., a halide, said silane readily forming a covalent bond at an oxygen group on a silicon-containing surface (i.e., via a silylation reaction). The silanes themselves may further include covalently bonded inert molecules, such as polyethylene glycol (PEG), whereby following attachment with the silicon-containing surface, the PEG-silane can block other molecules from binding with said surface. PEG-silane SAMs are popular because they are thin (i.e., non-bulky) and hydrophilic, and linkage of the PEG molecule with the silicon-containing surface results in a non-sticky, water-like layer. In contrast, alkylchlorosilanes may be used to form a hydrophobic surface, if necessary.

Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.

In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.01 weight percent, based on the total weight of the composition in which such components are employed.

In one aspect, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device. The liquid composition according to one embodiment comprises at least one SAM component, optionally at least one solvent, optionally at least one catalyst, and optionally at least one surfactant. The liquid composition according to another embodiment comprises at least one SAM component, at least one catalyst, optionally at least one solvent, and optionally at least one surfactant. The liquid composition according to yet another embodiment comprises at least one SAM component, at least one solvent, at least one catalyst, and optionally at least one surfactant. Importantly, depending on the nature of the solvent chosen, the solvent may act concurrently as the catalyst.

In one embodiment, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the catalyst concurrently acts as the solvent. The liquid composition according to this embodiment comprises at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition:

component of % by weight catalyst(s) about 85.0% to about 99.99% SAM(s) about 0.01% to about 10.0% Surfactant(s) 0% to about 10.0%

In a particularly preferred embodiment, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device. The liquid composition according to this embodiment comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition:

component of % by weight solvent(s) about 75.0% to about 99.98% SAM(s) about 0.01% to about 10.0% catalyst(s) about 0.01% to about 10.0% Surfactant(s) 0% to about 10.0%

In one aspect, the range of mole ratios of SAM(s) relative to catalyst(s) in the liquid SAM-containing composition is about 1:10 to about 5:1, more preferably about 1:5 to about 1:1; the range of mole ratios of SAM(s) relative to liquid solvent(s) is about 1:200 to about 1:50, more preferably about 1:125 to about 1:75; and the range of mole ratios of SAM(s) relative to surfactant(s) (when present) is about 1:10 to about 5:1.

In the broad practice of the invention, the liquid SAM-containing composition may comprise, consist of, or consist essentially of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. In general, the specific proportions and amounts of solvent(s), catalyst(s), SAM component(s), and optional surfactant(s), in relation to each other, may be suitably varied to provide the desired removal action of the liquid SAM-containing composition for the bulk and hardened photoresist and/or processing equipment, as readily determinable within the skill of the art without undue effort.

Solvent species useful in the compositions of the invention may be non-polar or polar in nature. Illustrative non-polar species include, but are not limited to, toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF) and carbon dioxide (subcritical or supercritical). Illustrative polar species include methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, methyl carbitol, butyl carbitol, hexyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, and propylene carbonate and mixtures thereof. Preferably, the solvent comprises a non-polar species. Toluene is especially preferred.

The SAM component may include alkoxyhalosilanes including (RO)3SiX, (RO)2SiX2, (RO)SiX3, where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and RO may be the same as or different from one another and is selected from the group consisting of straight-chained or branched C1-C20 alkoxy species such as methoxy, ethoxy, propoxy, etc., or combinations thereof. Preferably, the SAM component includes alkylhalosilanes of the nature (R)3SiX, (R)2SiX2, (R)SiX3, where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and R may be the same as or different from one another and is selected from the group consisting of straight-chained, branched or cyclic C1-C20 alkyl species such as methyl, ethyl, propyl, butyl, octyl, decyl, dodecyl, etc., or combinations thereof. Fluorinated alkyl and alkoxy derivatives may also be used. Preferably, the SAM component includes alkylhalosilanes where X=Cl and R=methyl. In another alternative, the SAM component has a PEG molecule attached thereto.

Although not wishing to be bound by theory, the catalyst is included in the composition of the invention to initiate the silylation reaction and speed up the passivation of the underlying silicon-containing layer(s). Preferably, the catalysts include amines such as trimethylamine, triethylamine, butylamine, pyridine, and any other nucleophilic compound that aids in the removal of a halogen leaving group from the SAM component. It is thought that the amine catalyst promotes an in situ silylation reaction, whereby the SAM silane covalently attaches to oxygen atoms on the underlying silicon-containing layer(s), with the simultaneous generation of a protonated leaving group, e.g., HX. Accordingly, the underlying silicon-containing layer is passivated by the covalently bound silane, while the generated protonated leaving group is available for removal of the hardened photoresist material. Importantly, depending on the nature of the solvent chosen, the solvent may act concurrently as the catalyst.

The liquid SAM-containing compositions of the invention may further include a surfactant to assist in the removal of the resist from the surface of the microelectronic device. Illustrative surfactants include, but are not limited to, fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as combinations of the foregoing surfactants.

In a preferred embodiment, the liquid SAM-containing composition includes less than about 1 wt. % water, more preferably less than about 0.5 wt. % water, and most preferably less than about 0.25 wt. % water, based on the total weight of the composition. Further, preferably the at least one SAM component does not undergo substantial polymerization at the microelectronic device surface. For example, preferably less than 5 wt. % of the SAM component polymerizes at the microelectronic device surface, more preferably less than 2 wt. %, even more preferably less than 1 wt. %, and most preferably less than 0.1 wt. % of the SAM component polymerizes at the microelectronic device surface.

In general, the specific proportions and amounts of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, in relation to each other, may be suitably varied to provide the desired cleaning and passivating action of the liquid SAM-containing composition for the bulk and hardened photoresist to be removed from the microelectronic device. Such specific proportions and amounts are readily determinable by simple experiment within the skill of the art without undue effort. Most preferably, the SAM-containing component(s) and the catalyst(s) are present in an amount effective to remove bulk and hardened photoresist material from a microelectronic device having said material thereon.

It is to be understood that the phrase “removing bulk and hardened photoresist material from a microelectronic device” is not meant to be limiting in any way and includes the removal of bulk and hardened photoresist material from any substrate that will eventually become a microelectronic device.

It is also contemplated herein that the liquid SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon-containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation. In addition, the liquid SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.

The liquid SAM-containing compositions of the invention may optionally be formulated with additional components to further enhance the passivation and removal capability of the composition, or to otherwise improve the character of the composition, i.e., provide metal passivation. Accordingly, the composition may be formulated with stabilizers, complexing agents, passivators, e.g., Cu passivating agents, and/or corrosion inhibitors.

The liquid SAM-containing compositions of the invention are easily formulated by the mixture of solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) with gentle agitation. The solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the single-package formulation or the individual parts of the multi-part formulations may be widely varied in specific multiples, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid SAM-containing compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.

Accordingly, another aspect of the invention relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the invention. Preferably, the kit includes, in one or more containers, at least one solvent, at least one SAM component, and optionally at least one surfactant for combining with the at least one catalyst at the fab. According to another embodiment, the kit includes, in one or more containers, at least one SAM component, and optionally at least one surfactant for combining with the at least one solvent and the at least one catalyst at the fab. In yet another embodiment, the kit includes in one container at least one SAM component in solvent and in another container at least one catalyst in solvent for combining at the fab. For example, the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).

In yet another embodiment, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the liquid SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist. Importantly, the residue material may be dissolved and/or suspended in the liquid SAM-containing composition of the invention. In still another embodiment, the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.

In yet another aspect, the invention relates to dense SAM-containing compositions including dense fluids, e.g., supercritical fluids (SCF), as the primary solvent system. Because of its readily manufactured character and its lack of toxicity and negligible environmental effects, supercritical carbon dioxide (SCCO2) is the preferred SCF. SCCO2 is an attractive reagent for removal of microelectronic device process contaminants, since SCCO2 has the characteristics of both a liquid and a gas. Like a gas, it diffuses rapidly, has low viscosity, near-zero surface tension, and penetrates easily into deep trenches and vias. Like a liquid, it has bulk flow capability as a “wash” medium. SCCO2 has a density comparable to organic solvents and also has the advantage of being recyclable, thus minimizing waste storage and disposal requirements.

The dense SAM-containing composition according to one embodiment comprises SCCO2 and the liquid SAM-containing composition, i.e., a SAM-containing concentrate, in the following ranges, based on the total weight of the composition:

component of % by weight SCCO2 about 95.0% to about 99.99% liquid SAM-containing composition about 0.01% to about 10.0%

where the liquid SAM-containing composition comprises about 75.0% to about 90.0% co-solvent, about 0.01% to about 10.0% SAM component, about 0.01% to about 10.0% catalyst and optionally 0 to about 10.0% surfactant, wherein the co-solvent(s), SAM-component(s), catalyst(s) and optional surfactant(s) contemplated include the aforementioned species.

In one aspect, the range of mole ratios of liquid SAM-containing composition relative to SCCO2 in the dense SAM-containing composition is about 1:200 to about 1:4, more preferably about 1:100 to about 1:6.

In the broad practice of the invention, the dense SAM-containing composition may comprise, consist of, or consist essentially of SCCO2 and the liquid SAM-containing composition, i.e., at least one additional solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. In general, the specific proportions and amounts of SCCO2 and liquid SAM-containing composition, in relation to each other, may be suitably varied to provide the desired removal action of the dense SAM-containing composition for the bulk and hardened photoresist and/or processing equipment, as readily determinable within the skill of the art without undue effort. Importantly, the liquid SAM-containing composition may be at least partially dissolved and/or suspended within the dense fluid of the dense SAM-containing composition.

In yet another embodiment, the invention relates to a dense SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the dense SAM-containing composition includes SCCO2, at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist. Importantly, the residue material may be dissolved and/or suspended in the dense SAM-containing composition of the invention. In still another embodiment, the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.

It is also contemplated herein that the dense SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon-containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation. In addition, the dense SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.

In yet another aspect, the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device using the SAM-containing compositions described herein. For example, trench and via structures on the patterned devices may be cleaned while maintaining the structural integrity of the underlying silicon-containing layers using SAM passivation. It should be appreciated by one skilled in the art that the SAM-containing compositions may be used in a one-step or multi-step removal process.

The SAM-containing compositions of the present invention overcome the disadvantages of the prior art removal techniques by reversibly passivating the underlying silicon-containing layer(s), while simultaneously removing the bulk and hardened photoresist deposited thereon.

The liquid SAM-containing compositions of the present invention are readily formulated by simple mixing of ingredients, e.g., in a mixing vessel or the cleaning vessel under gentle agitation. The dense SAM-containing compositions are readily formulated by static or dynamic mixing at the appropriate temperature and pressure.

In passivation and removal application, the liquid SAM-containing composition is applied in any suitable manner to the microelectronic device having photoresist material thereon, e.g., by spraying the composition on the surface of the device, by dipping (in a volume of the composition) of the device including the photoresist material, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, by contacting the device including the photoresist material with a circulating composition, or by any other suitable means, manner or technique, by which the liquid SAM-containing composition is brought into contact with the photoresist material on the microelectronic device. The passivation and removal application may be static or dynamic, as readily determined by one skilled in the art.

In use of the compositions of the invention for removing photoresist material from microelectronic device surfaces having same thereon, the liquid SAM-containing composition typically is contacted with the device surface for a time of from about 1 to about 60 minutes, the preferred time being dependent on the dopant ion dose and the implant energy employed during ion implantation, wherein the higher the dopant ion dose and/or implant energy, the longer the contacting time required. Preferably, temperature is in a range of from about 20° C. to about 80° C., preferably about 30° C. to about 80° C., most preferably about 70° C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the photoresist material from the device surface, within the broad practice of the invention. As defined herein, “at least partial removal” corresponds to at least 90% removal of bulk and hardened photoresist, preferably at least 95% removal. Most preferably, at least 99% of said bulk and hardened photoresist material is removed using the compositions of the present invention.

Following the achievement of the desired passivation and cleaning action, the microelectronic device may be thoroughly rinsed with copious amounts of ethanol and/or THF to remove any residual chemical additives.

The SAM-containing compositions of the invention selectively remove 100% of highly doped (with 2×1015 As ions cm−2) photoresist (500-700 nm thick) having a hardened, cross-linked carbonized crust ranging from 30-70 nm in thickness. Importantly, the hardened crust is removed without substantially over-etching the underlying silicon-containing layer(s).

For passivation and cleaning applications using the dense SAM-containing compositions, the microelectronic device surface having the photoresist thereon is contacted with the dense SAM-containing composition, at suitable elevated pressures, e.g., in a pressurized contacting chamber to which the dense SAM-containing composition is supplied at suitable volumetric rate and amount to effect the desired contacting operation, for at least partial removal of the photoresist from the microelectronic device surface. The chamber may be a batch or single wafer chamber, for continuous, pulsed or static cleaning. The passivation and removal of the hardened photoresist by the dense SAM-containing composition may be enhanced by use of elevated temperature and/or pressure conditions during contacting of the photoresist with the dense SAM-containing composition.

The appropriate dense SAM-containing composition may be employed to contact a microelectronic device surface having photoresist thereon at a pressure in a range of from about 1,500 to about 4,500 psi for sufficient time to effect the desired removal of the photoresist, e.g., for a contacting time in a range of from about 5 minutes to about 30 minutes and a temperature of from about 40° C. to about 75° C., although greater or lesser contacting durations and temperatures may be advantageously employed in the broad practice of the present invention.

The removal process using the dense SAM-containing composition may include a static soak, a dynamic cleaning mode, or sequential processing steps including dynamic flow of the dense SAM-containing composition over the microelectronic device surface, followed by a static soak of the device in the dense SAM-containing composition, with the respective dynamic flow and static soak steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.

A “dynamic” contacting mode involves continuous flow of the composition over the device surface, to maximize the mass transfer gradient and effect complete removal of the resist from the surface. A “static soak” contacting mode involves contacting the device surface with a static volume of the composition, and maintaining contact therewith for a continued (soaking) period of time.

Following the contacting of the dense SAM-containing composition to the microelectronic device surface, the device thereafter preferably is washed with rinsing solution, for example, aliquots of SCF/co-solvent solution, e.g., SCCO2/methanol (80%/20%) solution, and pure SCF, to remove any residual precipitated chemical additives from the region of the device surface in which resist removal has been effected.

It will be appreciated that specific contacting conditions for the liquid SAM-containing and the dense SAM-containing compositions of the invention are readily determinable within the skill of the art, based on the disclosure herein, and that the specific proportions of ingredients and concentrations of ingredients in the compositions of the invention may be widely varied while achieving desired passivation of the underlying silicon-containing layer(s) and removal of the hardened photoresist material on the microelectronic device surface.

Another aspect of the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device, said method including passivation of the underlying silicon-containing layer(s) on the microelectronic device surface using non-halide containing SAM component, e.g., hexamethyldisilazane (HMDS), and removing the bulk and hardened photoresist from the microelectronic device using an etchant-containing removal composition. Suitable etchant-containing removal compositions include without limitation, hydrogen fluoride (HF), ammonium fluoride (NH4F), alkyl hydrogen fluoride (NRH3F), dialkylammonium hydrogen fluoride (NR2H2F), trialkylammonium hydrogen fluoride (NR3HF), trialkylammonium trihydrogen fluoride (NR3(3 HF)), tetraallcylammonium fluoride (NR4F), pyridine-HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, monoethanolamine/HF complex, triethanolamine/HF complex, triethylamine/formic acid complex, and xenon difluoride (XeF2), wherein each R in the aforementioned R-substituted species is independently selected from C1-C8 alkyl and C6-C10 aryl. Additional species are disclosed in co-pending U.S. Provisional Patent Application No. 60/672,157, filed Apr. 15, 2005 in the name of Pamela M. Visintin et al. for “Dense Fluid Formulations for Cleaning Ion-Implanted Photoresist Layers from Microelectronic Devices,” which is incorporated herein by reference in its entirety.

In yet another aspect, the invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, with the provision that the SAM-containing composition is devoid of an etchant component selected from the group consisting of hydrogen fluoride, ammonium fluoride, ammonium bifluorides and other well-known fluoride etchant species.

Regardless of the method used to remove the hardened photoresist from the microelectronic device, a further aspect of the invention includes the removal of the SAM passivating layer from the surface of the microelectronic device subsequent to the removal of the photoresist material therefrom, referred to herein as “depassivation.”

When carbon contamination due to the passivating alkyl groups on the wafer surface is unacceptable (approximately 3 to 10 Å monolayer of methyl groups when Cl3SiMe is the SAM used), the SAM may be removed using strong acids such as H2SO4, however, this may cause unwanted oxidation of the underlying silicon-containing layer(s). Thus, dilute inorganic acids including halide ions, such as HCl and HF, are preferred under optimized process conditions. The halide ions will readily attack a passivating Si—O—Si bond at the SAM-device surface interface and thus “depassivate” the device surface. However, special care should be taken to minimize over-etching of the silicon-containing layer(s) on the device surface.

The inventors have previously shown that anhydrous solutions of HF/Pyridine (1:1 mole ratio) in DMSO are known to etch thermal oxide, TEOS, silicon nitride, and polysilicon at rates less than <0.1 Å min−1. Thus, the depassivating solution may include about 0.01 wt % to about 2 wt. % dilute inorganic acid/amine complex and/or inorganic acid in a solvent to depassivate the device surface with only slight fluorination and over-etching of the underlying silicon-containing layers. Dilute inorganic acid/amine complexes and inorganic acids contemplated herein include pyridine/HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, fluorosilicic acid, hydrofluoric acid, tetrafluoroboric acid, and triethylamine/formic acid complex, and combinations thereof with peroxides, concentrated HCl, ammonium hydroxide, and mixtures thereof. These compositions may be aqueous-based, solvent-based, or combinations thereof. For example, solvents contemplated herein for the depassivating solution include, but are not limited to, water, DMSO, methanol, ethyl acetate, any of the other aforementioned solvents, and combinations thereof. It is to be understood that following depassivation, the depassivating composition will include some amount of SAM compounds.

Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.

A still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, and incorporating said microelectronic device into said article, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. Alternatively, the SAM-containing composition may further include a dense fluid.

The features and advantages of the invention are more fully shown by the illustrative example discussed below.

EXAMPLE 1

Atomic Force Microscopy (AFM) and surface energy measurements were performed before and after contact of a sample device surface with the SAM-containing compositions of the invention to determine the extent of removal of hardened photoresist as well as monolayer formation on the surface of said device. The sample device surfaces included wafers consisting of (from top to bottom) an ion-implanted photoresist layer (2×1015 As ions cm−2; 10 keV implant energy), a bulk photoresist layer, a silicon-containing gate oxide layer, and a silicon substrate. The samples were processed for varying times and at varying temperatures using varying SAM functionalities, and the contact angles measured. The results are tabulated in Tables 1-3 hereinbelow.

TABLE 1 Processing as a function of time using a SAM-containing composition including 1 mmol Cl3SiMe and 2 mmol Et3N in 10 mL of toluene, and a contacting temperature of 70° C. Time Contact Angle (°) 0 (control) 35 ± 3 10 min 77 ± 2 30 min 79 ± 1 1 hour 80 ± 1 15 hours 95 ± 4

TABLE 2 Processing as a function of temperature using a SAM-containing composition including 1 mmol Cl3SiMe and 2 mmol Et3N in 10 mL of toluene, and a contacting time of 30 min. Temperature/° C. Contact Angle (°) control 35 ± 3 50° C. 75 ± 2 60° C. 79 ± 2 70° C. 79 ± 1

TABLE 3 Processing as a function of SAM functionalities using a SAM-containing composition including 1 mmol of the listed SAM and 2 mmol Et3N in 10 mL of toluene, at a contacting temperature of 70° C. for a contacting time of 30 min. SAM Contact Angle (°) Cl3SiMe 79 ± 1 Cl2Si(Me)2 86 ± 1 ClSi(Me)3 97 ± 1 Cl3SiH 87 ± 4

Passivation of the underlying silicon-containing layer is evidenced by an increase in the contact angle following application of the SAM-containing composition with the device surface. It can be seen in Table 1 that a process time of less than 10 minutes is needed to transform the hydroxyl-terminated hydrophilic device surface, having a contact angle of 35 degrees, to a methyl-terminated hydrophobic surface, having a contact angle of 77 degrees.

The corresponding AFM images illustrated in FIGS. 1A-1D, at contacting times equal to 10 min, 30 min, 1 hour and 15 hours, respectively, clearly show that as time increased (while maintaining all other process parameters constant), small islands form on the silicon-containing surface due to polymerization (or cross-linking) of the multi-substituted chlorosilane. As process time is increased, the islands gradually coalesce, or agglomerate, and at 15 hours show evidence of bulk polymerization on the surface.

The preliminary temperature studies were performed to determine the most effective temperature for surface passivation and cleaning efficiency. With regards to cleaning efficiency, four different microelectronic device layers were considered: bulk blanketed photoresist; the 30-45 nm ion-implanted crust on the bulk blanketed photoresist; bulk patterned photoresist; and the ion-implanted crust on the bulk patterned photoresist. Comparing the results reported in Table 2 (the contact angles) with the percent removal efficiency illustrated in FIG. 2, it can be seen that temperatures greater than 60° C. provide the greatest amount of passivation as well as almost 100% removal of photoresist. Accordingly, all subsequent experiments as a function of time and SAM functionality were performed at 70° C.

The evidence of cross-linking is better shown in FIGS. 3A-3C, which illustrate the variation of cross-linking as a function of SAM functionality, specifically the number of chloride leaving groups, at temperature of 70° C. and time of 30 min. It can be seen that with ClSiMe3 (FIG. 3A), the ability of the SAM to cross-link does not exist, and a smooth monolayer (rms=0.415 nm; control rms=0.131 nm) is formed on the surface. However, with Cl2SiMe2 (FIG. 3B) and Cl3SiMe (FIG. 3C), cross-linking occurs as evidenced by the island formation described hereinabove, which as a result, leads to rougher film surfaces (rms=0.465 and 1.573 nm for the di- and tri-chlorosilanes, respectively). The formation of islands is indicative of the necessity for more aggressive depassivation techniques (e.g., more concentrated compositions, greater contact time, etc.).

EXAMPLE 2

FIGS. 4A-4C show the optical (FIG. 4A) and scanning electron microscopic (SEM) images of sample device surfaces including a layer of densely patterned, highly doped (2×1015 As ions cm2; 10 keV implant energy) photoresist consisting of a region of parallel lines. The 30 nm thick hardened crust can be clearly seen in the 90 degree angle view image (FIG. 4C). The cleaning efficiency of the crust as a function of chloride substitution on the SAM component is illustrated in FIG. 5A (ClSiMe3), FIG. 5B (Cl2SiMe2), and FIG. 5C (Cl3SiMe). The optical microscope images in FIGS. 5A-5C illustrate that as the number of chloride leaving groups on the SAM component increases, the amount of hardened photoresist removed also increases. In fact, greater than 90% removal of the four different microelectronic device layers is achievable using the Cl3SiMe-containing composition (see FIG. 6). It is thought that the increase in crust removal is the result of an increase in HCl generated when the SAM-containing composition is applied to the device surface.

An additional experiment was performed whereby a non-halide containing SAM-containing composition was contacted with the sample device surface including densely patterned, highly doped photoresist and underlying silicon-containing layer(s). No hardened photoresist was removed, even though the sample was passivated as evidenced by the contact angle of 63°. Therefore, our results show that some amount of leaving group, e.g., chloride, is necessary for hardened photoresist removal.

EXAMPLE 3

A further aspect of the invention includes the removal of the passivating layer from the surface of the microelectronic device, or “depassivation.” FIG. 7A is an optical microscope image of a densely patterned device surface having a contact angle of 36° and an rms=0.15 nm. FIG. 7B is an optical image of the device surface of FIG. 7A following application at 70° C. for 30 min of a SAM-containing composition including Cl3SiMe. The contact angle of the passivated surface was determined to be 79° (with a rms=1.10 nm), evidencing passivation of the silicon-containing surface. It can be seen that at least 90% of the hardened photoresist was removed. FIG. 7C is an optical image of the device surface of FIG. 7B following depassivation at 50° C. for 2 min using NEt3:HF (1:3 mole ratio) in DMSO composition. The contact angle of the depassivated surface was determined to be 35° (with a rms=0.25 nm). Once the contact angle of the surface matches that of the surface prior to contact with the SAM-containing composition, the depassivation process is essentially complete.

It is noted that the depassivation process should be optimized in order to eliminate fluorination and/or over-etching of the underlying silicon-containing layer(s). For example, depassivation may be performed in 30 second intervals for SAM removal from thermal oxide-containing device structures and 20 second intervals for SAM removal from TEOS-based device structures.

FIGS. 8A-8E provide another illustration of the passivation and cleaning results, as well as depassivation following removal of the hardened photoresist. FIG. 8A is a SEM of a device surface including a densely patterned, highly doped (2×1015 As ions cm−2; 10 keV implant energy) photoresist layer prior to processing. FIG. 8B is a SEM of the densely patterned surface of FIG. 8A following application at 70° C. for 30 min of a SAM-containing composition including Cl3SiMe, illustrating the successful and efficient removal (and passivation) of the hardened photoresist. FIGS. 8C and 8D are SEMs of the device surface of FIG. 8B following depassivation at 50° C. for 2 min using NEt3:HF (1:3 mole ratio) in DMSO composition. The SEM image in FIGS. 8C and 8D do not show any evidence of substantial over-etching of the underlying silicon-containing layers during the depassivation process (compare with the over-etched sample in FIG. 8E).

The improved SAM-containing compositions taught herein effectively remove bulk and hardened photoresist in a one-step or multi-step process, without the need for a plasma etch step and without substantially over-etching the underlying silicon-containing layer(s).

Accordingly, while the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other aspects, features and embodiments. Accordingly, the claims hereafter set forth are intended to be correspondingly broadly construed, as including all such aspects, features and embodiments, within their spirit and scope.

Claims

1. (canceled)

2. A method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time and under sufficient contacting conditions to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.

3. The method of claim 2, wherein said contacting is carried out at conditions selected from the group consisting of: time of from about 1 minute to about 60 minutes; temperature in a range of from about 30° C. to about 80° C., and combinations thereof.

4. (canceled)

5. The method of claim 2, wherein the solvent comprises at least one solvent selected from the group consisting of toluene, decane, octane, dodecane, pentane, hexane, tetrahydrofuran (THF), carbon dioxide, methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, butyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and mixtures thereof; wherein the catalyst comprises an amine selected from the group consisting of trimethylamine, triethylamine, butylamine, pyridine, and combinations thereof; and wherein the SAM component comprises a silane selected from the group consisting of: (RO)3SiX, (RO)2SiX2, (RO)SiX3, (R)3SiX, (R)2SiX2, and (R)SiX3, where X=F, Cl, Br and I, and R=methyl, ethyl, propyl, butyl, octyl, decyl, and dodecyl; fluorinated derivatives thereof; and combinations thereof.

6. The method of claim 2, wherein the mole ratio of SAM(s) relative to catalyst(s) in a liquid SAM-containing composition is in a range from about 1:10 to about 5:1 and the mole ratio of SAM(s) relative to solvent(s) is in a range from about 1:200 to about 1:50.

7. The method of claim 2, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).

8. The method of claim 2, wherein the bulk and hardened photoresist materials comprise dopant ions selected from the group consisting of arsenic ions, boron ions, phosphorous ions, indium ions, and antimony ions.

9. The method of claim 2, wherein the contacting comprises a process selected from the group consisting of: spraying the SAM-containing composition on a surface of the microelectronic device; dipping the microelectronic device in a sufficient volume of SAM-containing composition;

contacting a surface of the microelectronic device with another material that is saturated with the SAM-containing composition; contacting the microelectronic device with a circulating SAM-containing composition; contacting the microelectronic device with a continuous flow of the SAM-containing composition; and contacting the microelectronic device surface with a static volume of the SAM-containing composition for a continued period of time.

10. The method of claim 2, further comprising rinsing the microelectronic device following contact with the SAM-containing composition.

11. The method of claim 2, wherein the at least one SAM component and the at least one catalyst are present in amounts effective to simultaneously passivate a silicon-containing layer on said microelectronic device and remove bulk and hardened photoresist material from the microelectronic device having said material thereon.

12. The method of claim 11, wherein the silicon-containing layer comprises a silicon-containing compound selected from the group consisting of silicon; silicon dioxide; TEOS; silicon nitride;

silicon-containing organic polymers; silicon-containing hybrid organic/inorganic materials; organosilicate glass (OSG); fluorinated silicate glass (FSG); carbon-doped oxide (CDO) glass; and combinations thereof.

13. The method of claim 11, wherein the underlying silicon-containing layer has a contact angle in a range from about 60 degrees to about 120 degrees following formation of the SAM-passivating layer.

14. The method of claim 2, further comprising removing a SAM-passivating layer from the microelectronic device with a depassivating composition following at least partial removal of said photoresist material from the microelectronic device.

15. The method of claim 14, wherein the depassivating composition comprises compounds selected from the group consisting of pyridine/HF complexes, pyridine/HCl complexes, pyridine/HBr complexes, triethylamine/HF complexes, fluorosilicic acid, hydrofluoric acid, tetrafluoroboric acid, triethylamine/HCl complexes, triethylamine/formic acid complexes, peroxide derivatives thereof, concentrated HCl, ammonium hydroxide, and combinations thereof.

16. The method of claim 2, wherein the solvent comprises dense carbon dioxide.

17. The method of claim 16, wherein said contacting comprises conditions selected from the group consisting of: pressure in a range of from about 1500 to about 4500 psi; time in a range of from about 5 to about 30 minutes; temperature in a range of from about 40° C. to about 75° C.; and combinations thereof.

18. (canceled)

19. (canceled)

20. (canceled)

21. A method of removing a self assembled monolayer (SAM) passivating layer from a microelectronic device with a depassivating composition, wherein the depassivating composition comprises compounds selected from the group consisting of pyridine/HF complexes, pyridine/HCl complexes, pyridine/HBr complexes, triethylamine/HF complexes, fluorosilicic acid, hydrofluoric acid, tetrafluoroboric acid, triethylamine/HCl complexes, triethylamine/formic acid complexes, peroxide derivatives thereof, concentrated HCl, ammonium hydroxide, and combinations thereof.

22. A self assembled monolayer (SAM)-containing composition, comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, wherein said SAM-containing composition is suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.

23. The SAM-containing composition of claim 22, wherein the mole ratio of SAM(s) relative to catalyst(s) in a liquid SAM-containing composition is in a range from about 1:10 to about 5:1, and the mole ratio of SAM(s) relative to solvent(s) is in a range from about 1:200 to about 1:50.

24. The SAM-containing composition of claim 22, wherein the solvent comprises at least one non-polar solvent selected from the group consisting of toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF), carbon dioxide, and mixtures thereof.

25. The SAM-containing composition of claim 24, further comprising an additional solvent selected from the group consisting of methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, butyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and mixtures thereof.

26. The SAM-containing composition of claim 22, wherein the solvent comprises toluene.

27. The SAM-containing composition of claim 22, wherein the solvent comprises dense carbon dioxide.

28. The SAM-containing composition of claim 22, wherein the SAM component comprises a silane selected from the group consisting of: (RO)3SiX, (RO)2SiX2, (RO)SiX3, (R)3SiX, (R)2SiX2, and (R)SiX3, where X=F, Cl, Br and I, and R=methyl, ethyl, propyl, butyl, octyl, decyl, and dodecyl; fluorinated derivatives thereof; and combinations thereof.

29. The SAM-containing composition of claim 22, wherein the SAM component comprises an alkylchlorosilane selected from the group consisting of Cl3SiMe, Cl2SiMe2, and ClSiMe3.

30. The SAM-containing composition of claim 22, wherein the catalyst comprises an amine selected from the group consisting of trimethylamine, triethylamine, butylamine, pyridine, and combinations thereof.

31. The SAM-containing composition of claim 22, comprising at least one surfactant.

32. The SAM-containing composition of claim 22, wherein the surfactant comprises a surfactant species selected from the group consisting of fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene glycol ethers, polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, dodecylbenzenesulfonic acid salts, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone polymers, modified silicone polymers, acetylenic diols, modified acetylenic diols, alkylammonium salts, modified alkylammonium salts, and combinations thereof.

33. The SAM-containing composition of claim 22, wherein the composition comprises toluene, Cl3SiMe and triethylamine.

34. The SAM-containing composition of claim 22, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).

35. The SAM-containing composition of claim 22, wherein the bulk and hardened photoresist materials comprise dopant ions selected from the group consisting of arsenic ions, boron ions, phosphorous ions, indium ions and antimony ions.

36. The SAM-containing composition of claim 22, wherein the at least one SAM component and the at least one catalyst are present in amounts effective to simultaneously passivate a silicon-containing layer on said microelectronic device and remove bulk and hardened photoresist material from the microelectronic device having said material thereon.

37. The SAM-containing composition of claim 36, wherein the silicon-containing layer comprises a silicon-containing compound selected from the group consisting of silicon; silicon dioxide; TEOS; silicon nitride; silicon-containing organic polymers; silicon-containing hybrid organic/inorganic materials; organosilicate glass (OSG); fluorinated silicate glass (FSG); carbon-doped oxide (CDO) glass; and combinations thereof.

38. The SAM-containing composition of claim 27, wherein the carbon dioxide is supercritical.

39. The SAM-containing composition of claim 22, further comprising photoresist residue material, wherein the photoresist comprises bulk photoresist, hardened photoresist, or combinations thereof.

40. The SAM-containing composition of claim 39, wherein the photoresist comprises an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, antimony ions, and combinations thereof.

41. A kit comprising, in one or more containers, SAM-containing composition reagents, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and wherein the kit is adapted to form a SAM-containing composition suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.

42. A method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with the SAM-containing composition of claim 22 for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said material thereon.

Patent History
Publication number: 20070251551
Type: Application
Filed: Oct 25, 2006
Publication Date: Nov 1, 2007
Inventors: Michael Korzenski (Danbury, CT), Pamela Visintin (Red Hook, NY), Thomas Baum (Fairfield, CT)
Application Number: 11/552,808
Classifications
Current U.S. Class: 134/41.000; 510/109.000
International Classification: C23G 1/02 (20060101);