METHODS FOR PROCESSING SUBSTRATES HAVING METAL HARD MASKS

- APPLIED MATERIALS, INC.

Methods of processing metal hard masks are provided herein. In some embodiments, a method for processing a metal hard mask layer having a tri-layer resist disposed thereon is provided. A pattern is etched from a patterned photoresist layer into a second anti-reflective layer using a first plasma comprising chlorine. The pattern is etched into a first anti-reflective layer using a second plasma formed from a second process gas. The second anti-reflective layer is removed using a third plasma comprising chlorine (Cl2). The metal hard mask layer is etched using a fourth plasma comprising chlorine. The first anti-reflective layer is removed using a fifth plasma comprising oxygen (O2). In some embodiments, the process may be performed in a single process chamber. In some embodiments, the metal hard mask layer may be a titanium nitride (TiN) hard mask.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims benefit of U.S. provisional patent application Ser. No. 61/354,510, filed Jun. 14, 2010, which is herein incorporated by reference.

FIELD

Embodiments of the present invention generally relate to semiconductor processing and, more particularly, to methods for processing substrates having metal hard masks.

BACKGROUND

Integrated circuits have evolved into complex devices that can include millions of components (e.g., transistors, capacitors and resistors) on a single chip. The evolution of chip designs continually requires faster circuitry and greater circuit density. The demands for greater circuit density necessitate a reduction in the dimensions of the integrated circuit components.

The overall size of the integrated circuit components are limited by the smallest geometrical feature that can be etched into the substrate, the critical dimension (CD). One technique for etching features into a substrate utilizes a hard mask to facilitate greater control of the critical dimension. A metal, for example, titanium nitride is used as a hard mask material because it provides high selectivity between the hard mask and the underlying substrate layers, thereby facilitating control of the critical dimension while also protecting the underlying layers, reducing the risk of damage to the layers.

Conventional hard mask fabrication utilizes a multi-step processing technique, which includes multiple etching steps performed in different process chambers. For example, multiple layers including a photoresist and one or more anti-reflective layers may be formed atop a hard mask and successively etched using a different processing chemistry for each. With each progressive step etch residues may remain on the etching chamber and the metal hard mask itself. As a result, the hard mask must be removed from the etching chamber, cleaned, and placed into a second etching chamber for additional processing. However, the process of removing the substrate for cleaning between etching processes and placing the substrate in a second process chamber reduces efficiency and productivity.

Therefore, the inventors have provided an improved method of processing metal hard masks.

SUMMARY

Methods of processing substrates having metal hard masks are provided herein. In some embodiments, a method for processing a metal hard mask layer having a tri-layer resist disposed thereon is provided. The tri-layer resist includes a first anti-reflective layer, a second anti-reflective layer disposed over the first anti-reflective layer, and a patterned photoresist layer defining a pattern to be etched into the metal hard mask layer disposed above the second anti-reflective layer. The pattern is etched from the patterned photoresist layer into the second anti-reflective layer using a first plasma formed from a first process gas comprising a chlorine containing gas. The pattern is etched from the second anti-reflective layer into the first anti-reflective layer using a second plasma formed from a second process gas. The second anti-reflective layer is removed using a third plasma formed from a third process gas comprising chlorine (Cl2). The pattern from the first anti-reflective layer is etched into the metal hard mask layer using a fourth plasma formed from a fourth process gas comprising a chlorine containing gas. The first anti-reflective layer is removed using a fifth plasma formed from a fifth process gas comprising oxygen (O2).

In some embodiments, the process may be performed in a single process chamber. In some embodiments, the metal hard mask layer may be a titanium nitride (TiN) hard mask. Other embodiments are described below.

BRIEF DESCRIPTION OF THE DRAWINGS

Embodiments of the present invention, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative embodiments of the invention depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 depicts a method for the processing of a semiconductor substrate in accordance with some embodiments of the present invention.

FIGS. 2A-2F are illustrative cross-sectional views of a substrate during different stages of the processing sequence in accordance with some embodiments of the present invention.

FIG. 3 depicts an apparatus suitable for processing semiconductor substrates in accordance with some embodiments of the present invention.

To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.

DETAILED DESCRIPTION

Embodiments of the present invention generally relate to methods for processing substrates having metal hard masks, and specifically, the removal of etch residues and etch mask materials from substrate surfaces during etch processes. The inventive methods may advantageously increase productivity and efficiency of processing substrates by providing a process wherein the etch process and the removal of etch residues and etch mask materials may be performed in a single process chamber utilizing similar etching chemistries. The inventive methods provided herein may further advantageously provide a faster removal of etch residues and excess etch mask material over conventional etch techniques and facilitate improved control over the critical dimension of etched features.

FIG. 1 depicts a method for the processing of a substrate having a metal hard mask in accordance with some embodiments of the present invention. The method 100 described herein may advantageously be performed in a single processing chamber, such as described below with respect to FIG. 3. The individual processes described in the method 100 could also be performed in separate process chambers, if desired. FIGS. 2A-2F are illustrative cross-sectional views of a substrate having a metal hard mask disposed thereon during different stages of the process sequence in accordance with some embodiments of the present invention. To best understand the invention, the reader should refer simultaneously to FIG. 1 and FIGS. 2A-2F.

The method 100 generally begins at 102, where a metal hard mask layer 204 having a tri-layer resist 209 disposed thereon is provided, as depicted in FIG. 2A. The tri-layer resist 209 may comprise a first anti-reflective layer 206, a second anti-reflective layer 208, and a photoresist layer 210. The photoresist layer 210 may be patterned to have openings 212 in a desired geometry, as depicted in FIGS. 2A-F. In some embodiments, the metal hard mask layer 204 may be disposed atop a substrate 202.

The substrate 202 may be any suitable substrate, such as a silicon substrate, a III-V compound substrate, a silicon germanium (SiGe) substrate, an epi-substrate, a silicon-on-insulator (SOI) substrate, a display substrate such as a liquid crystal display (LCD), a plasma display, an electro luminescence (EL) lamp display, a light emitting diode (LED) substrate, a solar cell array, solar panel, or the like. In some embodiments, the substrate 202 may be a semiconductor wafer (e.g., a 200 mm, 300 mm, or the like silicon wafer). In some embodiments, the substrate 202 may include additional layers, for example a dielectric layer. In some embodiments, the substrate may be a partially fabricated semiconductor device such as Logic, DRAM, or a Flash memory device. In addition, features, such as trenches, vias, or the like, may be formed in one or more layers of the substrate 202.

The metal hard mask layer 204 may comprise any hard mask material suitable to be used to facilitate etching a pattern or feature into a substrate 202. For example, in some embodiments, the metal hard mask layer 204 comprises titanium nitride (TiN). The metal hard mask layer 204 may be formed over the substrate 202 in any suitable manner, such as by chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like.

The photoresist layer 210 may comprise any suitable photoresist, such as a positive or negative photoresist that may be formed and patterned in any suitable manner as known in the art. The two anti-reflective layers (206, 208) are provided to facilitate improved control over the patterning of the photoresist layer 210. For example, in some embodiments, such as depicted in FIGS. 2A-F, the first anti-reflective layer 206 may be disposed beneath the photoresist layer 210, and the second anti-reflective layer 206 may be disposed beneath the first anti-reflective layer 208. The first and second anti-reflective layers 206, 208 may comprise any materials suitable to provide an adequate amount of control over the subsequent patterning processes and may be formed in any suitable manner as known in the art.

In some embodiments, the first anti-reflective layer 206 may be fabricated from an organic material. For example, the first anti-reflective layer 206 may comprise any suitable anti-reflective materials, such as a silicon-based material, for example, silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide (SiC), 43% Si ARC (anti-reflective coating), and the like. The second anti-reflective layer 208 may comprise organic materials, such as a carbon-rich ARC, a bottom ARC (BARC), and the like.

Although the above embodiments are described with respect to a metal hard mask layer 204 having a tri layer resist 209 disposed thereon, the inventors have observed that any materials suitable to provide an etch template for etching the metal hard mask layer 204 may be utilized in the inventive methods. For example, the tri layer resist 209 may be replaced by a single layer, or in some embodiments, two or more layers of any suitable material such as a positive or negative photoresist, organic materials (e.g., a carbon-rich ARC, a bottom ARC (BARC) or the like), one or more anti-reflective coatings (ARC) (i.e., a Si-rich anti-reflective coating (ARC) layer, a dielectric anti-reflective coating (DARC), or the like), or an amorphous carbon, such as Advanced Patterning Film (APF), available from Applied Materials, Inc., located in Santa Clara, Calif.

In addition, although portions of the inventive methods are described with respect to a metal hard mask etch process, the inventive methods may be utilized to etch other materials as well. For example, in some embodiments, the metal hard mask layer 204 may alternatively be a hard mask comprising at least one of oxides, such as silicon dioxide (SiO2), silicon oxynitride (SiON), or the like, or nitrides, such as titanium nitride (TiN), silicon nitride (SiN), or the like, silicides, such as titanium silicide (TiSi), nickel silicide (NiSi) or the like, or silicates, such as aluminum silicate (AlSiO), zirconium silicate (ZrSiO), hafnium silicate (HfSiO), or the like. Alternatively, or in combination, in some embodiments, other layers may be disposed on the substrate to be etched. For example, the other layers may comprise a metal, such as titanium (Ti), tantalum (Ta), tungsten (W), nitrides thereof, aluminum (Al), copper (Cu), chromium (Cr), gold (Au), or the like, or a dielectric material, for example a high-K dielectric material (e.g. wherein K is greater than 3.9), or a silicon-based layer, such as silicon (Si), silicon oxide (SiO2), silicon nitride (SiN), or the like.

Next, at 104, the second anti-reflective layer 208 may be etched through the photoresist layer 210 to extend the openings 212 of the photoresist layer 210 to the second anti-reflective layer 208, as depicted in FIG. 2B. The second anti-reflective layer 208 may be etched using a first plasma formed from a first process gas, as described below. In some embodiments, the second anti-reflective layer 208 may be etched using a plasma formed from a first process gas comprising chlorine (Cl2) as the primary reactive agent. In some embodiments, the first process gas may comprise chlorine (Cl2) and ethylene (C2H4) as the primary reactive agents. The use of chlorine (Cl2) as a primary reactive agent may facilitate an increased etching rate. In addition, the features etched may advantageously comprise a tapered profile, thereby desirably providing a feature having a reduced critical dimension (e.g., space CD shrinkage control). In some embodiments, the first process gas may additionally comprise one or more additives to promote plasma stability, for example, at least one of, oxygen (O2), or hydrogen (H2). In addition, the first process gas may further comprise an inert gas as a dilutant or carrier gas, such as one or more of nitrogen (N2), helium (He), argon (Ar), or the like.

The first process gas may be provided to the process chamber at a total flow rate of about 5 to about 500 sccm, or about 65 sccm. In embodiments where the first process gas comprises chlorine (Cl2) as the only reactive gas, such gases may be provided at the flow rates disclosed above. In embodiments where the first process gas comprises chlorine (Cl2) and ethylene (C2H4) as the reactive agents, the flow rate ratio of chlorine (Cl2) to ethylene (C2H4) may be about 100:1 to about 1:10, or about 1:1. In embodiments where one or more additives are included in the first process gas, the flow rate ratio of reactive agents to additives may be about 1:10 to about 10:1, or about 1:1. In embodiments where the reactive gases are provided with one or more inert gases, a flow rate ratio of reactive gases to inert gases may be about 10:1 to about 1:10, or about 1:1.

The first process gas may be formed into a plasma by coupling RF power at a suitable frequency to the process gas mixture within a process chamber under suitable conditions to establish and maintain the plasma, such as described below with respect to FIG. 3. For example, in some embodiments, a plasma power source of up to about 5000 W, or about 400 to about 2000 W, or about 600 W, at a frequency in a range from 50 kHz to 13.56 MHz may be provided to ignite and maintain the plasma. In some embodiments, a bias power may be applied to the substrate to facilitate directing ions from the plasma towards the substrate, thereby facilitating the etching process. For example, in some embodiments, the bias power may be about 30 W to about 300 W, at a frequency in a range from 50 kHz to 13.56 MHz.

Additional process parameters may be utilized to promote plasma ignition and stability. For example, in some embodiments, the process chamber may be maintained at a temperature of about −20 to about 60 degrees Celsius during plasma ignition and etching. Additionally, in some embodiments, the process chamber may be maintained at a pressure of about 10 to about 500 mTorr, such as about 80 mTorr.

During the etching of the second anti-reflective layer 208, some of the patterned photoresist layer 210 may be removed. However, typically, at least some, and in some embodiments, a bulk of the patterned photoresist layer 210 may remain after etching the second anti-reflective layer 208.

Next, at 106, the first anti-reflective layer 206 may be etched through the patterned photoresist layer 210 and the patterned second anti-reflective layer 208 to extend the openings 212 of the patterned photoresist layer 210 and the second anti-reflective layer 208 to the first anti-reflective layer 206. The first anti-reflective layer 206 may be etched using a second plasma formed from a second process gas as described below. In some embodiments, the first anti-reflective layer 206 may be etched using a plasma formed from a second process gas comprising oxygen (O2) and, optionally, ethylene (C2H4) as the primary reactive agent(s). In addition, the second process gas may further comprise an inert gas as a dilutant or carrier gas, such as nitrogen (N2), helium (He), argon (Ar), chlorine (Cl2), hydrogen bromide (HBr), methane (CH4), or the like.

The second process gas may be provided to the process chamber via a gas source coupled to the process chamber at a total flow rate of about 10 to about 150 sccm, or about 50 sccm. In embodiments where the second process gas comprises just oxygen (O2) as the reactive gas, the second process gas may be provided at the flow rates disclosed above. In embodiments where the second process gas comprises oxygen (O2) and ethylene (C2H4) the flow rate ratio of oxygen (O2) to ethylene (C2H4) may be about 15:1 to about 1:15, or about 1:1. In embodiments where the reactive gases are provided with one or more inert gases, a flow rate ratio of reactive gases to inert gases may be about 10:1 to about 1:10, or about 1:1.

The second process gas may be formed into the second plasma by coupling RF power at a suitable frequency to the second process gas mixture within a process chamber under suitable conditions to establish and maintain the plasma, such as described below with respect to FIG. 3. For example, in some embodiments, a plasma power source of up to about 5000 W, or about 300 to about 1800 W, or about 1000 W, at a frequency in a range from 50 kHz to 13.56 MHz may be provided to ignite and maintain the plasma. In some embodiments, a bias power may be applied to the substrate to facilitate directing ions from the plasma towards the substrate, thereby facilitating the etching process. For example, in some embodiments, the bias power may be about 50 to about 400 W, at a frequency in a range from about 50 kHz to 13.56 MHz.

Additional process parameters may be utilized to promote plasma ignition and stability. For example, in some embodiments, the process chamber may be maintained at a temperature of about 15 to about 65 degrees Celsius during plasma ignition and etching. Additionally, in some embodiments, the process chamber may be maintained at a pressure of about 3 to about 20 mTorr, such as about 5 mTorr.

During the etching of the first anti-reflective layer 206, some or all of the remaining patterned photoresist layer 210 may be removed. In some embodiments, essentially all of the patterned photoresist layer 210 is removed by etching the first anti-reflective layer 206.

Next, at 108, the remaining second anti-reflective layer 208, and any residue from the second anti-reflective layer 208 deposited upon the substrate or chamber components, may be removed using a third plasma formed from a third process gas as shown in FIG. 2D. In some embodiments, the residual second anti-reflective layer 208 (and/or material) may be removed by exposing the substrate to a plasma formed from a third process gas comprising chlorine (Cl2). In some embodiments, the third process gas may further comprise an inert gas as a dilutant or carrier gas, such as nitrogen (N2), helium (He), argon (Ar), methane (CH4), oxygen (O2), ethylene (C2H4), or the like.

The third process gas may be provided to the process chamber via a gas source coupled to the process chamber at a total flow rate of about 5 to about 200 sccm, or about 50 sccm. In embodiments where the third process gas comprises one or more inert gases, a flow rate ratio of chlorine (Cl2) to inert gases may be about 15:1 to about 1:15 or about 1:1.

The third process gas may be formed into a plasma by coupling RF power at a suitable frequency to the process gas mixture within a process chamber under suitable conditions to establish and maintain the plasma, such as described below with respect to FIG. 3. For example, in some embodiments, a plasma power source of up to about 5000 W, or about 300 to about 1500 W, or about 1000 W, at a frequency in a range from 50 kHz to 13.56 MHz may be provided to ignite and maintain the plasma. In some embodiments, a bias power may be applied to the substrate to facilitate directing ions from the plasma towards the substrate, thereby facilitating the etching process. For example, in some embodiments, the bias power may be about 30 to about 300 W, at a frequency in a range from 50 kHz to 13.56 MHz.

Additional process parameters may be utilized to promote plasma ignition and stability. For example, in some embodiments, the process chamber may be maintained at a temperature of about 15 to about 65 degrees Celsius during plasma ignition and etching. Additionally, in some embodiments, the process chamber may be maintained at a pressure of about 3 to about 20 mTorr, such as about 5 mTorr.

Next, at 110, the metal hard mask layer 204 may then be etched to transfer the pattern or feature to the metal hard mask layer 204, thereby defining a metal hard mask layer 204 having the pattern or feature defined therein, as shown in FIG. 2E. For example, in some embodiments, the pattern or feature may be etched into the metal hard mask layer 204 by exposing the metal hard mask layer 204 to a fourth plasma formed from a fourth process gas comprising chlorine (Cl2). In addition, the fourth process gas may further comprise an inert gas as a carrier gas, such as nitrogen (N2), helium (He), argon (Ar), methane (CH4), oxygen (O2), ethylene (C2H4), or the like.

The fourth process gas may be provided to the process chamber via a gas source coupled to the process chamber at a total flow rate of about 25 to about 125 sccm, or about 75 sccm. In embodiments where the fourth process gas comprises one or more inert gases, a flow rate ratio of chlorine (Cl2) to inert gases may be about 25:1 to about 1:10, or about 15:1.

The fourth process gas may be formed into a plasma by coupling RF power at a suitable frequency to the process gas mixture within a process chamber under suitable conditions to establish and maintain the plasma, such as described below with respect to FIG. 3. For example, in some embodiments, a plasma power source of up to about 5000 W, or about 300 to about 1500 W, or about 400 W, at a frequency in a range from 50 kHz to 13.56 MHz may be provided to ignite and maintain the plasma. In some embodiments, a bias power may be applied to the substrate to facilitate directing ions from the plasma towards the substrate, thereby facilitating the etching process. For example, in some embodiments, the bias power may be about 25 to about 250 W, at a frequency in a range from 50 kHz to 13.56 MHz.

Additional process parameters may be utilized to promote plasma ignition and stability. For example, in some embodiments, the process chamber may be maintained at a temperature of about 15 to about 65 degrees Celsius during plasma ignition and etching. Additionally, in some embodiments, the process chamber may be maintained at a pressure of about 4 to about 15 mTorr, such as about 5 mTorr.

In some embodiments, the residue removal step for removing the remaining second anti-reflective layer 208 (and any residue thereof deposited upon the substrate or chamber components) described above with respect to 108 may be coincident with the metal hard mask layer 204 etch described above with respect to 110 (e.g., the same plasma process performs both 108 and 110 either simultaneously, overlapping, or sequentially). During the etching of the metal hard mask layer 204, some or all of the remaining first anti-reflective layer 206 may be removed. In some embodiments, at least some of the first anti-reflective layer 206 remains after etching the metal hard mask layer 204.

Next, at 112, the remaining first anti-reflective layer 206, and any residue from the first anti-reflective layer 206 deposited upon the substrate or chamber components, may be removed as shown in FIG. 2F.

In some embodiments, the remaining first anti-reflective layer 206 may be removed by exposing remaining first anti-reflective layer 206 to a fifth plasma formed from a fifth process gas comprising oxygen (O2) (e.g., via an oxygen-based ashing process). In some embodiments, the fifth process gas may comprise additional gases, such as nitrogen (N2), helium (He), argon (Ar), methane (CH4), chlorine (Cl2), or ethylene (C2H4). The fifth process gas may be provided to the process chamber via a gas source coupled to the process chamber at a total flow rate of about 5 to about 500 sccm, or about 200 sccm. In embodiments where the process gas comprises just oxygen (O2) as the reactive gas, the process gas may be provided at the flow rates disclosed above. In embodiments where the process gas comprises oxygen (O2) and an additional gas, the flow rate ratio of oxygen (O2) to additional gas may be about 20:1 to about 1:15, or about 10:1.

The fifth process gas may be formed into a plasma by coupling RF power at a suitable frequency to the process gas mixture within a process chamber under suitable conditions to establish and maintain the plasma, such as described below with respect to FIG. 3. For example, in some embodiments, a plasma power source of up to about 5000 W, or about 300 to about 2000 W, or about 500 W, at a frequency in a range from 50 kHz to 13.56 MHz may be provided to ignite and maintain the plasma. In some embodiments, a bias power may be applied to the substrate to facilitate directing ions from the plasma towards the substrate, thereby facilitating the etching process. For example, in some embodiments, the bias power may be about 0 to about 300 W, at a frequency in a range from 50 kHz to 13.56 MHz.

Additional process parameters may be utilized to promote plasma ignition and stability. For example, in some embodiments, the process chamber may be maintained at a temperature of about 15 to about 65 degrees Celsius during plasma ignition and etching. Additionally, in some embodiments, the process chamber may be maintained at a pressure of about 4 to about 50 mTorr, such as about 5 mTorr.

Upon completion of removing the second anti-reflective layer 206, the method 100 generally ends. The substrate 200 may then continue being processed as desired to complete the devices and/or structures being fabricated on the substrate. Although only a number of features 212 are depicted in FIGS. 2A-F, the inventive methods are suitable for use in connection with forming single or dual damascene structures, contacts, vias, trenches, or any other feature or pattern as desired.

FIG. 3 depicts an apparatus 300 suitable for processing a substrate in accordance with some embodiments of the present invention. The apparatus 300 may comprise a controller 350 and a process chamber 302 having an exhaust system 320 for removing excess process gases, processing by-products, or the like, from the interior of the process chamber 305. Exemplary process chambers may include the DPS®, ENABLER®, ADVANTEDGE™, or other process chambers, available from Applied Materials, Inc. of Santa Clara, Calif. Other suitable process chambers may similarly be used.

The process chamber 302 has an inner volume 305 that may include a processing volume 304. The processing volume 304 may be defined, for example, between a substrate support pedestal 308 disposed within the process chamber 302 for supporting a substrate 310 thereupon during processing and one or more gas inlets, such as a showerhead 314 and/or nozzles provided at desired locations. In some embodiments, the substrate support pedestal 308 may include a mechanism that retains or supports the substrate 310 on the surface of the substrate support pedestal 308, such as an electrostatic chuck, a vacuum chuck, a substrate retaining clamp, or the like (not shown). In some embodiments, the substrate support pedestal 308 may include mechanisms for controlling the substrate temperature (such as heating and/or cooling devices, not shown) and/or for controlling the species flux and/or ion energy proximate the substrate surface.

For example, in some embodiments, the substrate support pedestal 308 may include an RF bias electrode 340. The RF bias electrode 340 may be coupled to one or more bias power sources (one bias power source 338 shown) through one or more respective matching networks (matching network 336 shown). The one or more bias power sources may be capable of producing up to 3000 W at a frequency of about 2 MHz, or about 13.56 MHz, or about 60 Mhz. In some embodiments, two bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode 340 at respective frequencies of about 2 MHz and about 13.56 MHz. In some embodiments, three bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode 340 at respective frequencies of about 2 MHz, about 13.56 MHz, and about 60 Mhz. The at least one bias power source may provide either continuous or pulsed power. In some embodiments, the bias power source alternatively may be a DC or pulsed DC source.

The substrate 310 may enter the process chamber 302 via an opening 312 in a wall of the process chamber 302. The opening 312 may be selectively sealed via a slit valve 318, or other mechanism for selectively providing access to the interior of the chamber through the opening 312. The substrate support pedestal 308 may be coupled to a lift mechanism 334 that may control the position of the substrate support pedestal 308 between a lower position (as shown) suitable for transferring substrates into and out of the chamber via the opening 312 and a selectable upper position suitable for processing. The process position may be selected to maximize process uniformity for a particular process. When in at least one of the elevated processing positions, the substrate support pedestal 308 may be disposed above the opening 312 to provide a symmetrical processing region.

The one or more gas inlets (e.g., the showerhead 314) may be coupled to a gas supply 316 for providing one or more process gases into the processing volume 304 of the process chamber 302. Although a showerhead 314 is shown in FIG. 3, additional or alternative gas inlets may be provided such as nozzles or inlets disposed in the ceiling or on the sidewalls of the process chamber 302 or at other locations suitable for providing gases as desired to the process chamber 302, such as the base of the process chamber, the periphery of the substrate support pedestal, or the like.

In some embodiments, the apparatus 300 may utilize capacitively coupled RF power for plasma processing, although the apparatus may also or alternatively use inductive coupling of RF power for plasma processing. For example, the process chamber 302 may have a ceiling 342 made from dielectric materials and a showerhead 314 that is at least partially conductive to provide an RF electrode (or a separate RF electrode may be provided). The showerhead 314 (or other RF electrode) may be coupled to one or more RF power sources (one RF power source 348 shown) through one or more respective matching networks (matching network 346 shown) via a conductor 344. The one or more plasma sources may be capable of producing up to about 5,000 W at a frequency of about 2 MHz and or about 13.56 MHz or high frequency, such as about 60 MHz. The exhaust system 320 generally includes a pumping plenum 324 and one or more conduits that couple the pumping plenum 324 to the inner volume 305 (and generally, the processing volume 304) of the process chamber 302.

A vacuum pump 328 may be coupled to the pumping plenum 324 via a pumping port 326 for pumping out the exhaust gases from the process chamber 302. The vacuum pump 328 may be fluidly coupled to an exhaust outlet 322 for routing the exhaust as required to appropriate exhaust handling equipment (for example, via a foreline 332). A valve 330 (such as a gate valve, or the like) may be disposed in the pumping plenum 324 to facilitate control of the flow rate of the exhaust gases in combination with the operation of the vacuum pump 328. Although a z-motion gate valve is shown, any suitable, process compatible valve for controlling the flow of the exhaust may be utilized.

To facilitate control of the process chamber 302 as described above, the controller 350 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory, or computer-readable medium, 356 of the CPU 352 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 354 are coupled to the CPU 352 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.

The inventive methods disclosed herein may generally be stored in the memory 356 as a software routine 358 that, when executed by the CPU 352, causes the process chamber 302 to perform processes of the present invention. The software routine 358 may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 352. Some or all of the method of the present invention may also be performed in hardware. As such, the invention may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware. The software routine 358 may be executed after the substrate 310 is positioned on the pedestal 308. The software routine 358, when executed by the CPU 352, transforms the general purpose computer into a specific purpose computer (controller) 350 that controls the chamber operation such that the methods disclosed herein are performed.

While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims

1. A method for processing a metal hard mask layer having a tri-layer resist disposed thereon, the tri-layer resist comprising a first anti-reflective layer, a second anti-reflective layer disposed over the first anti-reflective layer, and a patterned photoresist layer defining a pattern to be etched into the metal hard mask layer disposed above the second anti-reflective layer, the method comprising:

etching the pattern from the patterned photoresist layer into the second anti-reflective layer using a first plasma formed from a first process gas comprising a chlorine containing gas;
etching the pattern from the second anti-reflective layer into the first anti-reflective layer using a second plasma formed from a second process gas;
removing the second anti-reflective layer using a third plasma formed from a third process gas comprising chlorine (Cl2);
etching the pattern from the first anti-reflective layer into the metal hard mask layer using a fourth plasma formed from a fourth process gas comprising a chlorine containing gas; and
removing the first anti-reflective layer using a fifth plasma formed from a fifth process gas comprising oxygen (O2).

2. The method of claim 1, wherein the process is performed in a single process chamber.

3. The method of claim 1, wherein the metal hard mask layer comprises titanium nitride (TiN).

4. The method of claim 1, wherein the first anti-reflective layer is a silicon-based anti-reflective layer.

5. The method of claim 1, wherein the second anti-reflective layer comprises an organic anti-reflective layer.

6. The method of claim 1, wherein the first anti-reflective layer comprises silicon nitride (SiN), silicon oxynitride (SiON), or silicon carbide (SiC), Si-rich anti-reflective coating (ARC) layer.

7. The method of claim 1, wherein the first, second and third process gas comprise a non-fluorine chemistry.

8. The method of claim 1, wherein the first process gas further comprises at least one of ethylene (C2H4), argon (Ar), oxygen (O2), chlorine (Cl2), or helium (He).

9. The method of claim 1, wherein the first process gas is provided at a flow rate of about 5 to about 200 sccm.

10. The method of claim 1, wherein etching using the first plasma further comprises:

providing about 400 to about 2000 W of source RF power; and
providing about 30 to about 300 W of bias RF power.

11. The method of claim 1, wherein etching using the first plasma further comprises:

maintaining the process chamber at a pressure of about 4 to about 20 mTorr.

12. The method of claim 1, wherein the second process gas comprises oxygen and ethylene.

13. The method of claim 12, wherein the second process gas comprises a ratio of oxygen to ethylene about 15:1 to about 1:15.

14. The method of claim 1, wherein the second process gas is provided at a flow rate of about 10 to about 150 sccm.

15. The method of claim 1, wherein etching using the second plasma further comprises:

providing about 300 to about 1800 W of source RF power; and
providing about 50 to about 400 W of bias RF power.

16. The method of claim 1, wherein etching using the second plasma further comprises:

maintaining the process chamber at a pressure of about 4 to about 15 mTorr.

17. The method of claim 1, wherein the fourth process gas is provided at a flow rate of about 25 to about 200 sccm.

18. The method of claim 1, wherein etching using the fourth plasma further comprises:

providing about 300 to about 1500 W of source RF power; and
providing about 25 to about 250 W of bias RF power.

19. The method of claim 1, wherein etching using the fourth plasma further comprises:

maintaining the process chamber at a pressure of about 3 to about 20 mTorr.
Patent History
Publication number: 20110303639
Type: Application
Filed: Jan 27, 2011
Publication Date: Dec 15, 2011
Applicant: APPLIED MATERIALS, INC. (Santa Clara, CA)
Inventors: HERRICK NG (Cupertino, CA), GUOWEN DING (San Jose, CA), TEH-TIEN SUE (Milpitas, CA), BENJAMIN SCHWARZ (San Jose, CA), ZHUANG LI (San Jose, CA)
Application Number: 13/014,832
Classifications
Current U.S. Class: Masking Of A Substrate Using Material Resistant To An Etchant (i.e., Etch Resist) (216/41)
International Classification: C23F 1/02 (20060101);