Method of fabricating dual damascene structures using a multilevel multiple exposure patterning scheme
A method for fabricating a dual damascene structure includes providing a first photoresist layer coated on an underlying dielectric stack, exposing said first photoresist layer to a first predetermined pattern of light, coating a second photoresist layer onto the pre-exposed first photoresist layer, exposing said second photoresist layer to a second predetermined pattern of light, optionally post-exposure baking the multi-tiered photoresist layers and developing said photoresist layers to form a multi-tiered dual damascene structure in the photoresist layers.
Latest IBM Patents:
- AUTOMATIC DETECTION OF ROBOTIC PROCESS AUTOMATION TRIGGER EVENTS
- NETWORK BANDWIDTH DETERMINATION FOR ADAPTIVE WEB-CONFERENCE RENDERING AND TRANSMISSION
- Incorporating feedback in network graph hotspot identification
- Global prosody style transfer without text transcriptions
- Road icing condition prediction for shaded road segments
This present invention relates generally to the fabrication of very-large scale integrated (VLSI) or ultra-large scale integrated (ULSI) circuits, and more particularly, to the fabrication of a dual damascene structure using a multilayer photo-resist stack in conjunction with a multi level exposure.
BACKGROUNDThe fabrication of Very-Large Scale Integrated (VLSI) or Ultra-Large Scale Integrated circuit (ULSI) involves the use of metallic wiring that connects individual devices in a semiconductor chip to one another. The wiring interconnect network of the circuit includes two features: line features that traverse a distance across the chip, and via features which connect lines in different layers together. Historically, both layers are made from a dielectric material such as an inorganic glass like silicon dioxide (SiO2) or a fluorinated silica film deposited by plasma enhanced chemical vapor deposition (PECVD). This dielectric material insulates the conductive patterns that compose the metallic via and line structures, typically made of copper, tungsten, silver, gold or aluminum.
One method described in U.S. Pat. No. 7,579,137 of creating a wiring network on such small scale is known as the dual damascene (DD) process, shown schematically in
In the dual damascene process, the position of lines 1-150 and vias 1-170 can be defined lithographically in photoresist layers, 1-140, as shown in
A dual damascene via structure 1-190 and trench structure 191 is shown in
A capping material or blanket film 1-220 is deposited over the metal 1-210, as is depicted in
The above manufacturing methods for dual damascene structures typically involve a minimum of two lithography exposures alternated with two reactive ion etch steps, as well as the deposition steps such as those shown in
A broad aspect of the present invention is a simplified method and structure formed thereby to make BEOL dual damascene structures with fewer processing steps and different lithographic patterning schemes.
One aspect of the present invention is to provide a method, and structures fabricated thereby, of forming a multi-tiered structure, which implements multiple one-level reticles and a two-level photoresist stack, and a more particular aspect are methods, and structures fabricated thereby, for fabricating a dual damascene structures using multiple one-level reticles and a two-level photoresist stack. In comparison with conventional dual damascene patterning schemes the present invention simplifies the process flow resulting in reduced manufacturing costs and increase in throughput.
According to another aspect of the invention, there is provided a method, and a structure fabricated thereby, for fabricating a three-dimensional structure such as a dual damascene pattern. The method comprises providing a first photoresist layer having a distinct first dose-to-clear value and exposing said first photoresist layer to a first predetermined pattern of light with a first exposure removal dose to create a first developable region. At this stage, post-exposure bake and development of the exposed first photoresist layer is postponed until a later step. A second photoresist layer having a distinct second dose-to-clear value is subsequently provided. The second dose-to-clear value of the second photoresist layer is lower than the first dose-to-clear value of the first photoresist layer. The two-level photoresist stack thus formed is exposed to a second predetermined pattern of light with a second exposure removal dose. The second exposure removal dose is smaller than the first exposure removal dose. Exposure of the two-level photoresist stack to the second predetermined pattern of light creates a second developable region in the second photoresist layer. Then, the first photoresist layer and the second photoresist layer are post-exposure baked and developed to remove the first developable region in the first photoresist layer and the second developable region in the second photoresist layer, to form a multi-tiered structure in the photo-resist layers. The imaging formation process utilizes a coat-expose-coat-expose-PEB-develop sequence.
In a further aspect of the invention, the method further comprises transferring the multi-tiered structure into an interlayer dielectric layer of the semiconductor substrate.
In a further aspect of the invention, the method further comprises incorporating an additional stack comprising at least two material layers between the multi-tiered photoresist structure and the dielectric layer of the semiconductor substrate.
In a further aspect of the invention, the additional stack between the multi-tiered photoresist structure and the dielectric layer of the semiconductor substrate comprises at least one organic layer that can be etched using a RIE-based organic etch technique. RIE is an acronym for reactive ion etch.
In a further aspect of the invention, the additional stack between the multi-tiered photoresist structure and the dielectric layer of the semiconductor substrate comprises at least one silicon containing layer that can be etched using a RIE-based dielectric etch technique.
In a further aspect of the invention, the additional stack between the multi-tiered photoresist structure and the dielectric layer of the semiconductor substrate facilitates the transfer of the multi-tiered photoresist structure into the dielectric layer of the semiconductor substrate.
In a further aspect of the invention, the additional stack between the multi-tiered photoresist structure and the dielectric layer of the semiconductor substrate comprises at least two neighboring material layers that can be etched selectively to each other.
In a further aspect of the invention, the additional stack between the multi-tiered photoresist structure and the dielectric layer of the semiconductor substrate contains at least one layer that acts as an hard etch mask.
In a further aspect of the invention, the additional stack between the multi-tiered photoresist structure and the dielectric layer of the semiconductor substrate contains at least one layer that acts as an organic planarizing layer (OPL).
A first method for fabricating a dual damascene structure includes providing a multi-layer photoresist stack comprising a bottom photoresist layer and a top photoresist layer. The top photoresist layer has enhanced photosensitivity to radiation compared to the bottom photoresist layer. Alternatively, the bottom photoresist layer is sensitive to a first wavelength of light, whereas the top photoresist layer is sensitive to a second wavelength of light. The said photoresist layer stack is exposed to one or more predetermined patterns of light, followed by a post-exposure bake (PEB) step and developed to form a multi-tiered structure in the photo-resist layers. A general process flow for this particular method can be described as a coat-coat-expose-expose-PEB-develop sequence.
U.S. Pat. No. 5,288,660, the teaching of which is incorporated herein by reference, describes the use of a two-layer photoresist stack for the fabrication of T-shaped transistor electrodes. The top and bottom photoresist layers have sensitivity to different ultraviolet radiation wavelengths respectively.
Patent Applications US27148598A1 and US27178410A1, the teaching of both of which are incorporated herein by reference, describe the use of a two-layer photoresist stack wherein the top photoresist layer has enhanced radiation sensitivity to a selected radiation wavelength with respect to the bottom photoresist layer. Via-level and trench-level exposure doses are independently registered in the dual-layer imaging stack either by use of separate via-level and trench-level reticles or by use of a single grey tone mask containing both exposure levels. The multilayered photoresist stack is then developed to form a three-dimensional dual damascene photoresist structure.
Referring now to
Referring now to
After a short post exposure bake is performed, the latent image of the projected light results in a chemical reaction rendering a region 4-190 within the layers 2-120, 2-130 soluble in a developer, as indicated in
The resulting pattern 2-200 is then transferred using known methods into the underlying interlayer dielectric 2-110 or other material for other applications requiring multilevel structures.
Methods A described above represent a simplified process flow for the fabrication of dual damascene structures, having the advantage that the via etch, the trench etch and the photoresist ashing are performed as a continuous process in one etch chamber. Nevertheless, proposed Methods A and B contain intrinsic design and processing challenges that have not been explicitly described or addressed by the prior art. In order to provide more specific background information to support the present invention, critical evaluation of the aforementioned prior art is herein included.
Method A—Challenges
Method A utilizes a process flow consisting on a coat-coat-expose-expose-develop sequence. Since both the via-level exposure and the trench-level exposure traverse the dual-layer imaging stack, it is unequivocal that the high-sensitivity top resist 2-130 in
Simulation of such via-trench dose interaction was performed utilizing a Prolith modeling engine (KLA-Tencor) with adjusted photoresist and exposure parameters that mimic the exposure conditions outlined in the previous paragraph. A 248 nm trench exposure (annular illumination, NA=0.65, sigma=0.6/0.3) with nominal trench photoresist dose-to-size equal to 3.0 mJ/cm2 was used to define a trench developable area with CD=240 nm (pitch=480 nm). A 248 nm via exposure (conventional illumination, NA=0.65, sigma=0.6) with variable via photoresist dose-to-size was added to the previous exposure. Nominal via photoresist dose-to-size equaled 30 mJ/cm2 which defined a via developable area with CD=270 nm (pitch=540 nm). The results of the modeled exposure are shown in
Qualitative verification of the above results was performed using a 193 nm exposure system (annular illumination, NA=0.75, sigma=0·x/0·y). A photomask set consisting of M2 and V1 patterns capable of printing trenches with CD=170 nm and vias with CD=144 nm respectively was used to print a top and bottom resist layers. The top and bottom resist layers had dose-to-size photosensitivities equal to 8.0 mJ/cm2 and 25 mJ/cm2 respectively, under the exposure conditions defined above. Single-exposure patterning of the individual top and bottom photoresist layers using either M2 or V1 photomask levels is shown in
Dedicated pattern layout design can potentially be used to compensate for the trench CD bias introduced by the via exposure, however such correction for optical proximity would inevitably add complexity to the mask data preparation process. A rudimentary optical proximity correction (OPC) for the trench geometry used in a dual damascene structure is proposed in
This conclusive experimental evidence indicates that Method A cannot provide an easily realizable patterning solution to the dual damascene fabrication process
Method BA second method for fabricating a dual damascene structure including providing a bottom photoresist layer, exposing the bottom photoresist layer to a first predetermined pattern of light, post-exposure baking the bottom photoresist layer, developing the bottom photoresist layer, providing a top photoresist layer onto the first patterned bottom photoresist layer, exposing the top photoresist layer to a second predetermined pattern of light, post-exposure baking the top photoresist layer and developing the top photoresist layer to form a multi-tiered structure in the photoresist layers. A general process flow for this particular method can be described as a coat-expose-PEB-develop-coat-expose-PEB-develop sequence.
U.S. Pat. No. 5,877,076 and U.S. Pat. No. 7,078,348, the teaching of both of which are incorporated herein by reference, describe the use of a bottom photoresist that is used to pattern and develop a dark field via-level layout, followed by a hard bake curing step to crosslink the patterned bottom resist structures. The hard bake curing process enables the subsequent coating of a top photoresist without disrupting the integrity of the bottom photoresist. The top photoresist is then imaged with a bright field trench-level layout and developed to create a dual damascene structure.
U.S. Pat. No. 7,364,836, the teaching of which is incorporated herein by reference, describes a similar process to the one outlined in the previous paragraph, with the first photoresist layer being sensitive to I-line (365 nm) radiation and the second photoresist layer being sensitive to DUV (248 nm) radiation. This patent has no specific description of the method used to enable coating of the second photoresist layer on top of the patterned first photoresist layer is indicated.
U.S. Pat. No. 7,432,191, the teaching of which is incorporated herein by reference, describes the use of a first photoresist layer on top of developable antireflective coating (dBARC). The first photoresist layer and the dBARC are imaged and developed to form the first image pattern. Thereafter, the first photoresist layer is removed and the dBARC is modified by thermal treatment. A second photoresist layer is then formed on top of the dBARC layer, and the second photoresist layer is then imaged and developed to form the second image pattern.
Patent application US27166648A1, the teaching of which is incorporated herein by reference, describes the use of a bottom negative-tone photoresist that is used to pattern and develop a bright field via-level layout. The exposed regions of the bottom negative-tone photoresist become insoluble in organic solvent during the post-exposure bake. A top negative tone photoresist is subsequently coated on top of the via-patterned bottom photoresist. The top photoresist is then imaged with a bright field trench-level layout and developed to create a dual damascene structure contained in the patterned photoresist layers.
Method B described above represent a simplified process flow for the fabrication of dual damascene structures, having the advantage that the via etch, the trench etch and the photoresist ashing are performed as a continuous process in one etch chamber. Nevertheless, proposed Methods A and B contain intrinsic design and processing challenges that have not been explicitly described or addressed by the prior art. In order to provide more specific background information to support the present invention, critical evaluation of the aforementioned prior art is herein included.
Method B—ChallengesThis process utilizes a coat-expose-develop-coat-expose-develop sequence. With this method, the second photoresist layer is conformally coated on top of the patterned first photoresist layer. Exposure of the second photoresist layer is performed to generate photoresist developable areas that include the trench portion as well as the via-filled section of the dual damascene structure. One implicit condition for Method B to be successful is that enough dose should reach both the trench imaging layer as well as the via imaging layer. The increase in numerical aperture (NA) as a means to achieve higher resolution with 193 nm imaging wavelength unavoidably leads to reduced depth of focus. Focus process window as low as 100 nm is common for 1.35 NA immersion exposures, which is commensurate with typical 193 nm photoresist thickness. Therefore, it is expected that any imageable layer stack with total thickness larger than the total focus budget will print deficiently because either the top or bottom section of the imaging stack will remain out of focus under such exposure conditions.
In order to put the above hypothesis to the test, a first resist layer was coated with a thickness equal to 100 nm and exposed with a 193 nm scanner (0.85 NA, conventional illumination, sigma=0.75; via mask, dose=65 mJ/cm2, focus=−0.04 um). Inertness towards organic solvent attack from a second photoresist coating was induced in the first patterned resist layer through a hard-bake process. Vias patterned with the first resist layer (CD=115 nm) were conformally coated with a second resist layer (100 nm thick). The second resist layer was exposed with a 193 nm scanner (0.85 NA, annular illumination, sigma=0.8/0.5; metal level mask, dose=30 mJ/cm2, focus=−0.04 um) and develop to generate trench structures (CD=140 nm) over the previously patterned via structures. The dual damascene structures comprised by the via chain and the trench structures were cross-sectioned and imaged with a scanning electron microscope (SEM) in order to obtain a sliced view of their lateral profile. Cross sectional images shown in Figure X show that significant residue is left in the via chain patterns, despite the use of low NA (0.85 NA) imaging conditions and thin resist layers (100 nm) when Method B is used. This conclusive experimental evidence indicates that Method B cam not provide an easily realizable patterning solution for the dual damascene fabrication process.
Exemplary EmbodimentsExemplary embodiments of the invention as described herein generally include methods for producing a dual damascene using two one-level reticle and one two-level resist coating. While there are a multitude of embodiments possible, a subset is detailed here. In the interest of clarity, not all features of an actual implementation which are well known to those of skill in the art are described in detail herein.
According to an embodiment of the present invention, the differential solubility of lithographic photoresists in organic solvents is exploited. Conventional 193 nm photoresists used with dry and immersion ArF scanners are soluble in organic solvents such as glycol ethers and ketones. Examples of such solvents are propylene glycol methyl ether acetate (PGMEA), propylene glycol methyl ether (PGME) cyclohexanone and gamma-butyrolactone. However, conventional 193 nm photoresists are insoluble in linear or branched aliphatic alcohols such as 4-methyl-2-pentanol, 1-pentanol, 2-methyl-2-hexanol and 3-methyl-1-butanol and geometric isomers of comparable boiling point and vapor pressure. On the contrary, novel 193 nm photoresists with increased fluorine content, such as those described by Harada et al. in US Patent Applications US2009/0208867A1 and US2009/0208873A, the teaching of both of which are incorporated herein by reference, have augmented solubility in aliphatic alcohols due to the presence of more polarizable groups, such as hexafluoroalcohol moieties, in higher concentration compared to conventional 193 nm photoresists. This differential solubility property between conventional 193 nm photoresists and 193 nm photoresists with high fluorine content allows for the coating of a dual 193 nm photoresist layer. This dual 193 nm photoresist layer consists of a bottom layer comprised of a conventional 193 nm photoresist and a top layer comprised of a 193 nm photoresist with high fluorine content. The conventional 193 nm photoresist material is formulated in a glycol ether, ketone or solvent combination thereof. The high-fluorine content 193 nm photoresist is formulated in an aliphatic alcohol. The top 193 nm photoresist layer can be coated on top of the unexposed or exposed bottom 193 nm photoresist layer without significant intermixing.
ArF scanners are lithography patterning tools that utilize 193 nm radiation to is define photoresist structures. ArF dry scanners utilize air between the last lens element and the silicon wafer, whereas ArF immersion scanners incorporate ultrapure water between the last lens element and the silicon wafer. Models of ArF scanners are Twinscan XT 1900Gi, 1700Fi, and 1450G manufactured by ASML, FPA-7000AS7 and FPA-7000AS5 manufactured by Canon as well as NSR-S305B and NSR-S306 manufactured by Nikon.
According to another embodiment of the present invention, the dose-to-clear values of different lithographic photoresists is exploited. The dose-to-clear value is a measure of the amount of exposure required for a photoresist to fully develop. A material with a distinct dose-to-clear response will typically have a sharp exposure-development curve, and will not form a latent image for an exposure less than the dose-to-clear value. The sensitivity of the resist can be modulated by one or more of the following: differences in photoacid concentration, differences in base loading, differences in polymer structure, differences in mechanism of dissolution, differences in amount or effectiveness of dissolution inhibitor, and in the case of negative tone resists, differences in cross-linker concentration.
Preferred dose-to-clear ratio between the bottom 193 nm photoresist and the top 193 nm photoresist ranges from 2.5 to 4.0. (Any value between 2.5 and 4.0 is included, including but not limited to in increments of 0.0001.) This preferred range guarantees optimum image contrast involved in the image formation process and results in higher to pattern quality compared to dose-to-clear ratio values between the bottom 193 nm photoresist and the top 193 nm photoresist that fall outside this range.
According to an embodiment of the invention, the optical properties of different lithographic photoresists are exploited. Typically, short-wavelength photoresists are transparent at both shorter and longer wavelengths, while long-wavelength photoresists tend to be absorbing at shorter wavelengths. For example, phenolic resins are relatively transparent at 248 nm but absorbing at 193 nm, but norbornene-based resist tend to be transparent at 248 nm and at 193 nm. Many materials useful as photoresist layers have tailorable absorbance peaks. An example is anthracene-like chromophore, which can have peak absorbance tailored to be less than 400 nm. Anthracene will absorb heavily in the 365 nm region and can also absorb at shorter wavelengths such as 193 nm. The top and bottom photoresist layers can therein be tailored to independently display sensitivity to ArF (193 nm), KrF (248 nm) or I-line (365 nm) imaging radiation. The top and bottom photoresist layers would therefore be exposed to a plurality of light patterns of wavelengths that correspond to the sensitivities of the individual photoresist layers.
A one-level reticle in accordance with an embodiment of the invention would be able to project an aerial image commensurate with the line-space and via patterns in a dual damascene structure. Such a one-level reticle would include one or more of optical proximity corrected patterns, assist features, and phase shift patterns, as are known in the art.
For the sake of clarity,
Referring now to
Referring now to
Referring now to
Referring to
Referring now to
Referring now to
The wavelength sensitivities referenced in the above embodiments are exemplary, and photoresists of other wavelength sensitivities are within the scope of an embodiment of the invention.
Further embodiments of the invention comprise the use of 248 nm photoresists, i-line (365 nm) photoresists, g-line (412 nm) photoresists or combinations thereof. The embodiments depicted in
Intermediate layers could be utilized to optimize the overall structure, and other layers could be included to improve process latitude, such as a top antireflective layer or a bottom antireflective layer. For the sake of clarity, these additional layers have not been depicted in
According to another exemplary embodiment of the invention, the photoresists comprise photoimagable interlayer dielectrics. For example, if the photoresists shown in
Alternatively, if the developed resist pattern 6-200 can function as a dielectric, or if the photoresist stack does not include an ILD, the resist pattern formed by development can be transferred into a metal, such as Al, Cu, Ag, or alloys thereof, to form a structure. A dielectric can be deposited over the structure formed thereof and polished to reveal the metallic interconnect structure.
Another exemplary embodiment of the invention as shown in the schematic drawing given in
In another exemplary embodiment of the invention the stack shown in
Examples of applications for the processes according to the embodiments of the invention disclosed above include diffractive gratings, microfluidic arrays and optical communication structures, including but not limited to Wavelength Division Multiplexers, Wavelength Division Demultiplexers, Wavelength Routers, Wavelength Selectors, and waveguides.
Conventional state-of-the-art 193 nm photoresists are based on methyl methacrylate (MMA) platforms and include a protecting group, groups that boost the etch resistance to plasma processes and groups that enchance the solubility of said photoresists in organic solvents as well as in standard developer (tetramethylammonium hydroxide 0.26N in water), as taught in U.S. Pat. No. 7,517,634. Examples of protecting groups are methyl adamantyl MMA, hydroxyethyl adamantyl MMA, ethyl-cyclopentyl MMA, methyl-cyclopentyl MMA and ethyl-cyclooctyl MMA. Examples of groups that boost the etch resistance to plasma processes are alicyclic methacrylates such as norbornyl lactone MMA. Groups that enchance the solubility of said photoresists in organic solvents as well as in standard developer are fluorocarbinol MMA or fluorosulfonamide MMA.
A specific example of the embodiments of
(i) Dielectric films were deposited by Plasma Enhanced Chemical Vapor Deposition (PECVD) using, for example, a mixture of diethoxy methyl silane and bicycloheptadiene in a reactor operated at a pressure of 2-10 Torr, an applied RF power of 400-1000 W (at 13.56 MHz) and a substrate temperature of 250-400 C. The deposited dielectric film is subsequently cured using ultraviolet light and a substrate temperature of 300-400 C. (ii) a first organic planarizing layer (OPL) is spun onto the dielectric stack at 1 krpm followed by a post-apply bake (220° C./60 s) yielding a 300-nm-thick first OPL (7-160 & 8-160), (iii) a first silicon containing antireflective layer (SiARC) acting as hardmask is spin-coated onto the first OPL at 1.5 krpm and post-spin baked (225° C./60 s) yielding a 70-nm-thick first SiARC layer (7-170 & 8-170), (iv) a second organic planarizing layer is spun onto the first SiARC layer at 1.5 krpm and post-apply baked (220° C./60 s) forming a 100-nm-thick second OPL layer (7-180 & 8-180), and (v) a second silicon containing antireflective layer acting as hardmask is spun onto the second OPL layer at 1.5 krpm and post-spin baked (225° C./60 s) yielding a 70-nm-thick second SiARC layer (7-190 & 8-190) (vi) a via photoresist (ARX Series, JSR) is applied and spun onto the second SiARC layer at 1.5 krpm yielding a 250-nm-thick resist layer (6-120 & 7-200), (vi) the via resist layer is baked at 120° C. for 60 sec, (vii) a trench photoresist (LR-1010, IBM) is applied and spun onto the via resist layer at 1.01 krpm yielding a 365-nm-thick resist layer (6-150 & 7-210), (viii) the trench resist layer is baked at 110° C. for 60 sec. Exposures were conducted using a conventional 193 nm-dry-lithography scanner with a 0.85 numerical aperture (NA) connected to a standard track tool. The via resist layer 6-120 is exposed with a custom-made photomask at 65 mJ/cm2 yielding an exposed via hole pattern 6-160 comprising circular vias each having a target diameter of approx. 110 nm in the resist. The trench resist layer 6-150 is exposed with a photomask at 15 mJ/cm2 yielding an exposed trench pattern 6-170 comprising rectangular line patterns each having a length of several 100 nm and a target width of approx. 115 nm, (ix) both exposed photoresist layers are baked at 110° C. for 60 sec and then developed in standard developer (tetramethylammonium hydroxide 0.26N).
The particular embodiments disclosed above are illustrative only; do not encompass all viable permutations as would be apparent to those knowledgeable in the art. The invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Accordingly, the protection sought herein is as set forth in the claims below.
Claims
1. A method comprising:
- providing a first photoresist layer having a first dose-to-clear value;
- exposing the first photoresist layer to a first predetermined pattern of light with a first exposure removal dose;
- providing a second photoresist layer on top of the first photoresist layer having a second dose-to-clear value that is lower than the first dose-to-clear value of the first photoresist layer;
- exposing the second photoresist layer to a second predetermined pattern of light with a second exposure removal dose, the second exposure removal dose being smaller than the first exposure removal dose; and
- developing the first photoresist layer and the second photoresist layer to remove is the first developable region in the first photoresist layer and the second developable region in the second photoresist layer, to form a multi-tiered structure in the photo-resist layers.
2. A method according to claim 1, further comprising transferring the multi-tiered structure into an interlayer dielectric layer of the semiconductor substrate, wherein said interlayer dielectric layer is under said second photoresist layer.
3. A method according to claim 1, wherein further comprises a top anti-reflective coating layer and a bottom anti-reflective coating layer.
4. A method according to claim 1, wherein said first and second photoresist layers are immiscible.
5. A method according to claim 1, wherein said photoresists layers are positive-tone resists.
6. A method according to claim 1, wherein said photoresists layers are negative-tone photoresists.
7. A method according to claim 1, wherein said first predetermined pattern of light comprises an image which consists of a via-hole pattern and said second predetermined pattern of light comprises an image which consists of a line-and-space pattern.
8. A method according to claim 1, wherein each of said photoresist layers are sensitive to a same wavelength of light, and said first and second predetermined patterns of light comprise said same wavelength of light.
9. A method according to claim 8, wherein the dose-to-clear ratio between the first dose-to-clear value and the second dose-to-clear value is comprised between about 2.5 to about 4.0.
10. A method according to claim 1, wherein the dose-to-clear ratio between the first dose-to-clear value and the second dose-to-clear value is comprised between about 2.5 to about 4.0.
11. A method according to claim 1, wherein said first photoresist layer is sensitive to a first wavelength of light and said second photoresist layer is sensitive to a second wavelength of light; and
- said first predetermined pattern of light comprise said first wavelength of light and said second predetermined pattern of light comprise said second wavelength of light.
12. A method according to claim 11, further comprising exposing said first photoresist layer to a first predetermined patterns of light and said second photoresist layer to a second predetermined pattern of light, wherein each predetermined pattern of light comprises light of one of said first and sais second wavelengths of light.
13. A method according to claim 1, further comprising providing a first reticle having a plurality of distinct transparency regions, wherein exposing said first photoresist layer comprises passing light through said first reticle to create said first predetermined pattern of light.
14. A method according to claim 1, further comprising providing a second reticle having a plurality of distinct transparency regions, wherein exposing said second photoresist layer comprises passing light through said second reticle to create said second predetermined pattern of light.
15. A method according to claim 13, wherein said first reticle comprises a characteristic selected from the group consisting of one or more of an optical proximity corrected pattern, an assist feature, and a phase shift pattern.
16. A method according to claim 14, wherein said second reticle comprises a characteristic selected from the group consisting of one or more of an optical proximity corrected pattern, an assist feature, and a phase shift pattern.
17. A method according to claim 1 further including post-exposure baking the first photoresist layer and the second photoresist layer.
18. A method for fabricating a dual damascene structure, comprising:
- providing a first photoresist layer having a first dose-to-clear value; exposing the first photoresist layer to a first predetermined pattern of light with a first exposure removal dose;
- providing a second photoresist layer on top of the first photoresist layer having a second dose-to-clear value that is lower than the first dose-to-clear value of the first photoresist layer;
- exposing the second photoresist layer to a second predetermined pattern of light with a second exposure removal dose, the second exposure removal dose being smaller than the first exposure removal dose;
- developing the first photoresist layer and the second photoresist layer to remove the first developable region in the first photoresist layer and the second developable region in the second photoresist layer, to form a multi-tiered structure in the photo-resist layers; transferring the multi-tiered structure into an interlayer dielectric layer of the semiconductor substrate, wherein said interlayer dielectric layer is under said second photoresist layer; a top anti-reflective coating layer and a bottom anti-reflective coating layer;
- said first and second photoresist layers are immiscible;
- said photoresists layers are each selected from the group consisting of positive-tone resists and negative-tone photoresists;
- said first predetermined pattern of light comprises an image which consists of a via-hole pattern and said second predetermined pattern of light comprises an image which consists of a line-and-space pattern;
- wherein each of said photoresist layers are sensitive to a wavelength of light selected from the group consisting of the same or different wavelengths of light, and said first and second predetermined patterns of light comprise said wavelength of light selected from the group consisting of the same or different wavelengths of light;
- said first photoresist layer is sensitive to a first wavelength of light and said second photoresist layer is sensitive to a second wavelength of light;
- said first predetermined pattern of light comprise said first wavelength of light and said second predetermined pattern of light comprise said second wavelength of light;
- providing a first reticle having a plurality of distinct transparency regions, wherein exposing said first photoresist layer comprises passing light through said first reticle to create said first predetermined pattern of light and
- providing a second reticle having a plurality of distinct transparency regions, wherein exposing said second photoresist layer comprises passing light through said second reticle to create said second predetermined pattern of light.
19. A method according to claim 18, further comprising exposing said first photoresist layer to a first predetermined patterns of light and said second photoresist layer to a second predetermined pattern of light, wherein each predetermined pattern of light comprises light of one of said first and said second wavelengths of light.
20. A method according to claim 18, wherein said first reticle comprises a characteristic selected from the group consisting of one or more of an optical proximity corrected pattern, an assist feature, and a phase shift pattern.
21. A method according to claim 18, wherein said second reticle comprises a characteristic selected from the group consisting of one or more of an optical proximity corrected pattern, an assist feature, and a phase shift pattern.
22. A method according to claim 16 further including post-exposure baking the first photoresist layer and the second photoresist layer.
23. A method according to claim 17 further including post-exposure baking the first photoresist layer and the second photoresist layer.
24. A method according to claim 18 further including post-exposure baking the first photoresist layer and the second photoresist layer.
25. A method according to claim 19 further including post-exposure baking the first photoresist layer and the second photoresist layer.
26. A method according to claim 1 wherein said method is for fabricating a dual damascene structure.
27. A structure, comprising: a first dielectric layer having a first dose-to-clear value; a second dielectric layer having a second dose-to-clear value that is different than the first dose-to-clear value of the first dielectric layer.
28. A structure according to claim 27 wherein said second dose-to-clear value is lower than the said first dose-to-clear value.
29. A structure according to claim 27 wherein there is a pattern in said first dielectric layer and said second dielectric layer that is filled with an electrical conductor.
30. A structure according to claim 29 wherein there is a pattern in said first dielectric layer and said second dielectric layer that is filled with an electrical conductor.
31. A structure according to claim 27 wherein said structure is a dual damascene structure.
32. A structure according to claim 27 wherein said second dielectric layer is disposed adjacent to said first dielectric layer.
33. A structure according to claim 29 wherein said pattern comprises a first pattern in said first dielectric layer and a second pattern in said second dielectric layer
34. A structure according to claim 33 wherein said first patter and said second pattern are selected from the group consisting of not being geometrically coincident and not having the same dimensions.
35. A structure according to claim 27, wherein the dose-to-clear ratio between the first dose-to-clear value and the second dose-to-clear value is comprised between about 2.5 to about 4.0.
36. A method comprising: providing in a structure a first dielectric layer having a first dose-to-clear value; providing in said structure a second dielectric layer having a second dose-to-clear value that is different than the first dose-to-clear value of the first dielectric layer.
37. A method according to claim 36 wherein said second dose-to-clear value is lower than the said first dose-to-clear value.
38. A method according to claim 36 wherein there is a pattern in said first dielectric layer and said second dielectric layer that is filled with an electrical conductor.
39. A method according to claim 37 wherein there is a pattern in said first dielectric layer and said second dielectric layer that is filled with an electrical conductor.
40. A method according to claim 36 wherein said first dielectric layer is disposed in contact with said second dielectric layer.
41. A method according to claim 36 wherein said structure is a dual damascene structure.
42. A method according to claim 39 wherein said pattern comprises a first pattern in said first dielectric layer and a second pattern in said second dielectric layer
43. A method according to claim 42 wherein said first patter and said second pattern are selected from the group consisting of not being geometrically coincident and not having the same dimensions.
44. A method according to claim 36, wherein the dose-to-clear ratio between the first dose-to-clear value and the second dose-to-clear value is comprised between about 2.5 to about 4.0.
Type: Application
Filed: Sep 14, 2012
Publication Date: Jan 31, 2013
Applicant: International Business Machines Corporation (Amonk, NY)
Inventors: John C. Arnold (North Chatham, NY), Kuang-Jung Chen (Poughkeepsie, NY), Matthew E. Colburn (Schenectady, NY), Dario L. Goldfarb (Dobbs Ferry, NY), Stefan Harrar (New York, NY), Steven J. Holmes (Guilderland, NY), Pushkara Varanasi (Poughkeepsie, NY)
Application Number: 13/615,980
International Classification: H01L 21/428 (20060101); H01L 23/48 (20060101);