SEMICONDUCTOR DEVICE HAVING EPITAXIAL STRUCTURES

A semiconductor device having epitaxial structures includes a gate structure positioned on a substrate, epitaxial structures formed in the substrate at two sides of the gate structure, and an undoped cap layer formed on the epitaxial structures. The epitaxial structures include a dopant. The epitaxial structures and the undoped cap layer include a first semiconductor material having a first lattice constant and a second semiconductor material having a second lattice constant. The second lattice constant is larger than the first lattice constant. The second semiconductor material in the epitaxial structure includes a first concentration and the second semiconductor material in the undoped cap layer includes a second concentration. The second concentration is lower than the first concentration, and is upwardly decreased.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
Cross Reference To Related Applications

This is a continuation application of U.S. patent application Ser. No. 13/189,570, filed on Jul. 25, 2011, and all benefits of such earlier application are hereby claimed for this new continuation application.

BACKGROUND OF THE INVENTION

1. Field of the Invention

The present invention relates to a semiconductor device having epitaxial structures, and more particularly, to a semiconductor device having an epitaxial source/drain.

2. Description of the Prior Art

Epitaxial structures are used in a wide variety of semiconductor applications. For example, the prior art usually forms an epitaxial layer such as a silicon germanium (hereinafter abbreviated as SiGe) layer in a single crystal substrate by performing a selective epitaxial growth (hereinafter abbreviated as SEG) method. Since the epitaxial layer has the crystalline orientation almost identical to the crystalline orientation of the substrate, the epitaxial layers serves as a raised source/drain or a recessed source/drain for the semiconductor device. Because the lattice constant of the epitaxial SiGe layer is larger than that of the silicon substrate, a strain stress is generated to the channel region of the meta-oxide semiconductor (MOS) transistor device. Accordingly, carrier mobility in the channel region is improved and the speed of the MOS transistor is increased.

Although the epitaxial SiGe layer efficiently improves device performance, it increases complexity of the semiconductor fabrication and difficulties of the process control. For example, it is well-known that the stress is increased when the germanium concentration in the SiGe epitaxial structures is increased. However, the germanium concentration cannot be increased as expected: If the thickness of the epitaxial SiGe structure exceeds the critical thickness, it is relaxed and fails to cause stress to the channel region. Except the thickness issue, it is often found that agglomeration is formed by metal and germanium during the silicide process and causes serious junction leakage. Furthermore, the prior art also observes that because the lattice constants in the interface between the SiGe epitaxial structures and the silicon substrate are so different that the threshold voltage (hereinafter abbreviated as Vt) roll-off occurs.

Accordingly, though the epitaxial structure is able to improve the device performance, it is always in need to improve the epitaxial structure itself.

SUMMARY OF THE INVENTION

According to an aspect of the present invention, a semiconductor device having epitaxial structures is provided. The semiconductor device having epitaxial structures includes a gate structure positioned on a substrate, epitaxial structures formed in the substrate at two sides of the gate structure, and an undoped cap layer formed on the epitaxial structures. The epitaxial structures include a dopant. The epitaxial structures and the undoped cap layer include a first semiconductor material having a first lattice constant and a second semiconductor material having a second lattice constant. The second lattice constant is larger than the first lattice constant. The second semiconductor material in the epitaxial structure includes a first concentration and the second semiconductor material in the undoped cap layer includes a second concentration. The second concentration is lower than the first concentration, and upwardly decreased.

According to another aspect of the present invention, a semiconductor device having epitaxial structures is provided. The semiconductor device having epitaxial structures includes a gate structure positioned on a substrate, epitaxial structures formed in the substrate at two sides of the gate structure, a first undoped cap layer formed on the epitaxial structures, and a second undoped cap layer formed on the first undoped cap layer. The epitaxial structures include a dopant. The epitaxial structures, the first undoped cap layer, and the second undoped cap layer includes a first semiconductor material having a first lattice constant and a second semiconductor material having a second lattice constant. The second lattice constant is larger than the first lattice constant. The second semiconductor material in the epitaxial structure having a first concentration, the second semiconductor material in the first undoped cap layer having a second concentration, and the second semiconductor material in the second undoped layer having a third concentration. The second concentration is lower than the first concentration, and upwardly decreased. The third concentration is equal to 0%.

According to the semiconductor device having epitaxial structures provided by the present invention, the epitaxial structures serving as the source/drain of the semiconductor device includes the undoped cap layer with lower Ge concentration formed on the surface of the epitaxial structures, therefore the agglomeration formed by the metal and Ge in the silicide process and the junction leakage are both prevented. Furthermore, the semiconductor device having epitaxial structures provided by the present invention also includes the undoped under layer with lower Ge concentration formed in the recess and in between the epitaxial structure and the substrate, therefore the Vt roll-off issue, which is caused by the lattice constant difference between the SiGe epitaxial structure and the silicon substrate, is mitigated.

These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a first preferred embodiment of the present invention.

FIG. 2 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a second preferred embodiment of the present invention.

FIG. 3 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a third preferred embodiment of the present invention.

FIG. 4 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a fourth preferred embodiment of the present invention.

FIG. 5 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a fifth preferred embodiment of the present invention.

DETAILED DESCRIPTION

Please refer to FIG. 1, which is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a first preferred embodiment of the present invention. As shown in FIG. 1, a semiconductor device 100 having epitaxial structures provided by the preferred embodiment includes a substrate 102 and a gate structure 110 formed on the substrate 102. The gate structure 110 includes a gate insulating layer 112, and the gate insulating layer 112 can include high dielectric constant (high-k) material or silicon oxide. The gate structure 110 also includes a gate electrode 114 defined by a patterned hard mask 116. The gate electrode 114 can include metal or polysilicon. The semiconductor device 100 also includes lightly-doped drains (LDDs) 118 formed in the substrate 102 at two sides of the gate structure 110, a spacer 120 formed on sidewalls of the gate structure 110, and a pair of recesses 122 formed in the substrate 102 respectively at the two sides of the gate structure 110, particularly at two sides of the spacer 120.

Please still refer to FIG. 1. After performing a cleaning process to remove native oxides or other contaminants from the substrate 102, a SEG method is performed to form an epitaxial structure 130 respectively in the recess 122. In other words, the semiconductor device 100 provided by the preferred embodiment also includes epitaxial structures 130 positioned in the substrate 102 respectively at the two sides of the gate structure 110, particularly in the recess 122 at the two sides of gate structure 110. The epitaxial structure 130 includes a first semiconductor material and a second semiconductor material. The first semiconductor material has a first lattice constant while the second semiconductor material has a second lattice constant, and the second lattice constant is larger than the first lattice constant. In the preferred embodiment, the first semiconductor material includes Si and the second semiconductor material includes Ge. That means the epitaxial structure 130 includes SiGe, but not limited to this. In addition, a concentration of the second semiconductor material, that is the Ge concentration, is exemplarily but not limited to about 36% in the preferred embodiment. Because the lattice constant of SiGe is larger than that of the substrate, the epitaxial SiGe structure serves as a strained-silicon structure and causes changes to band structure. Therefore the carrier mobility in the channel region is increased and the performance of the semiconductor device 100 is consequently improved. As shown in FIG. 1, a surface of the epitaxial structures 130 and a surface of the substrate 102 are not coplanar. In detail, the surface of the epitaxial structure 130 is higher than the surface of the substrate 102.

Furthermore, ion implantation can be performed before or after forming the epitaxial structures 130, or during forming the epitaxial structures 130. Thus dopants required by different conductivity types of the semiconductor device 100 are implanted into the epitaxial structures 130. Accordingly, the implanted epitaxial structures 130 include the required p-type or n-type dopant and serve as a source/drain of the semiconductor device 100. Because the ion implantation and choices of the dopant are well-known to those skilled in the art, the details are omitted herein in the interest of brevity.

As mentioned above, to prevent the epitaxial structure 130 from agglomeration formed by metals and Ge in the silicide process, a cap layer can be formed on the surface of the epitaxial structure 130. For example, a pure silicon cap layer (not shown) can be formed on the epitaxial structures 130 for serving as a reacting subject in the silicide process. However, since the Ge concentration in the pure silicon cap layer is 0%, which is distinctly different from the Ge concentration in the epitaxial structures 130 (36%), it is found that the pure silicon cap layer obtains a wavy surface. In detail, an atomic force microscope (hereinafter abbreviated as AFM) measured root mean square (hereinafter abbreviated as RMS) roughness of the pure silicon cap layer is about 4.21 nanometer (hereinafter abbreviated as nm). This wavy/rough surface renders adverse impact to the following processes, even to the strain stress provided by the epitaxial structures 130.

It is therefore assumed that the undesirable agglomeration problem occurs when the epitaxial structures 130 is provided without the pure silicon cap layer, however the epitaxial structures 130 with the pure silicon cap layer having Ge concentration distinctly different from the Ge concentration in the epitaxial structures 130 results in the wavy surface. As a countermeasure against to the dilemmatic problem, the preferred embodiment provides a not pure silicon undoped cap layer 140 formed on the epitaxial structures 130. The undoped cap layer 140 includes the first semiconductor material and the second semiconductor material as mentioned above, that is SiGe. According to the preferred embodiment, the undoped cap layer 140 is a single layer as shown in FIG. 1. A concentration of the second semiconductor material in the undoped cap layer 140, that is the Ge concentration, is lower than the Ge concentration in the epitaxial structures 130, and is exemplarily about 25%. In addition, a thickness of the undoped cap layer 140 is, exemplarily but not limited to, 150 angstroms (Å) in the preferred embodiment.

In addition, the semiconductor device 100 provided by the preferred embodiment can further include an undoped under layer 150 positioned in the recess 122 and in between the epitaxial structure 130 and the substrate 102. The undoped under layer 150 covers sidewalls and a bottom of the recess 122. The undoped under layer 150 can be a single layer or multi-layer and includes the abovementioned first semiconductor material and second semiconductor material. That means the undoped under layer 150 include SiGe. The second semiconductor material in the undoped under layer 150 has a concentration lower than the Ge concentration in the epitaxial structures 130, and is between 10% and 25%. More important, the concentration of the second semiconductor material in the undoped under layer 150 is upwardly increased. It is noteworthy that details about the undoped under layer 150 will be described in the following preferred embodiments, therefore those details are omitted herein for simplicity.

According to the semiconductor device 100 having epitaxial structures provided by the first preferred embodiment, the not pure silicon undoped cap layer 140 is formed on the surface of the epitaxial structures 130. The undoped cap layer 140 includes SiGe and the Ge concentration is preferably about 25%. Since the Ge concentration in the undoped cap layer 140 is about 25% and the Ge concentration in the epitaxial structures 130 is about 36%, the difference between the two Ge concentrations are much less. Consequently, the undoped cap layer 140 formed on the surface of the epitaxial structures 130 obtains a smooth surface, and an AFM measured RMS roughness of the undoped cap layer 140 is about 0.75 nm. Compared with the RMS roughness of the pure silicon cap layer, that is 4.21 nm, it is concluded that the surface roughness is substantially improved. Furthermore, since the Ge concentration in the undoped cap layer 140 is lower, the agglomeration problem in the silicide process is mitigated.

Please refer to FIG. 2, which is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a second preferred embodiment of the present invention. It is noteworthy that elements the same in the first and second preferred embodiments are depicted by the same numerals. As shown in FIG. 2, a semiconductor device 100 having epitaxial structures provided by the preferred embodiment includes a substrate 102 and a gate structure 110 formed on the substrate 102. The gate structure 110 includes a gate insulating layer 112, and the gate insulating layer 112 can include high-k material or silicon oxide. The gate structure 110 also includes a gate electrode 114 defined by a patterned hard mask 116. The gate electrode 114 can include metal or polysilicon. The semiconductor device 100 also includes LDDs 118 formed in the substrate 102 at two sides of the gate structure 110, a spacer 120 formed on sidewalls of the gate structure 110, and a pair of recesses 122 formed in the substrate 102 respectively at the two sides of the gate structure 110, particularly at two sides of the spacer 120.

Please still refer to FIG. 2. The semiconductor device 100 provided by the preferred embodiment also includes epitaxial structures 130 positioned in the substrate 102 respectively at the two sides of the gate structure 110, particularly in the recess 122 at the two sides of gate structure 110. The epitaxial structure 130 includes a first semiconductor material and a second semiconductor material. The first semiconductor material has a first lattice constant while the second semiconductor material has a second lattice constant, and the second lattice constant is larger than the first lattice constant. In the preferred embodiment, the first semiconductor material includes Si and the second semiconductor material includes Ge. That means the epitaxial structure 130 includes SiGe, but not limited to this. In addition, a concentration of the second semiconductor material, that is the Ge concentration, is exemplarily but not limited to, about 36% in the preferred embodiment. As shown in FIG. 2, a surface of the epitaxial structures 130 is higher than a surface of the substrate 102.

As mentioned above, to solve the dilemmatic problem, the preferred embodiment provides an undoped cap layer 140 formed on the epitaxial structures 130. It is noteworthy that the undoped cap layer 140 provided by the preferred embodiment includes at least a first single layer 140a and a second single layer 140b as shown in FIG. 2, and the first single layer 140a is formed between the second single layer 140b and the epitaxial structure 130. The undoped cap layer 140 (including the first single layer 140a and the second single layer 140b) includes the first semiconductor material and the second semiconductor material as mentioned above, that is SiGe. According to the preferred embodiment, Ge in the first single layer 140a has a first concentration, Ge in the second single layer 140b has a second concentration, the first concentration and the second concentration are all lower than the Ge concentration in the epitaxial structures 130, and the second concentration is lower than the first concentration. In the preferred embodiment, the first concentration is preferably about 25% and the second concentration is preferably about 0%. In other words, the second preferred embodiment provides a multilayered cap layer 140 having Ge concentration upwardly decreased. In addition, a thickness of the undoped cap layer 140 is, exemplarily but not limited to, 150 Å in the preferred embodiment. It is also noteworthy that a thickness of the first single layer 140a and a thickness of the second single layer 140b have a ratio, and the ratio is about 1:2 according to the preferred embodiment.

As mentioned above, the semiconductor device 100 provided by the preferred embodiment can also include an undoped under layer 150. Since details about the undoped under layer 150 will be described in the following preferred embodiments, therefore those details are omitted herein for simplicity.

According to the semiconductor device 100 having epitaxial structures provided by the second preferred embodiment, the multilayered cap layer 140 is formed on the surface of the epitaxial structures 130. The multilayered cap layer 140 includes the first single layer 140a and the second single layer 140b. Both the first single layer 140a and the second single layer 140b include SiGe and the Ge concentration in the first single layer 140a is preferably about 25% while the Ge concentration in the second single layer 140b is preferably about 0%. In addition, a thickness of the second single layer 140b is larger than a thickness of the first single layer 140a. That means the multilayered cap layer 140 has the Ge concentration upwardly decreased. Since the first single layer 140a (Ge concentration of about 25%) is form between the second single layer 140b (Ge concentration of about 0%) and the epitaxial structure 130 (Ge concentration of about 36%), the first single layer 140a serves as a buffer layer between the second single layer 140b and the epitaxial structure 130. Consequently, the multilayered cap layer 140 formed on the surface of the epitaxial structures 130 obtains a smoother surface, and an AFM measured RMS roughness of the multilayered cap layer 140 is about 0.76 nm. Compared with the RMS roughness of the pure silicon cap layer, that is 4.21 nm, it is concluded that the surface roughness is substantially improved. Furthermore, since the Ge concentration of the second single layer 140b in the multilayered cap layer 140 is 0%, the second single layer 140b serves as the reacting subject in the silicide process. Thus the agglomeration problem in the silicide process is prevented.

Please refer to FIG. 3, which is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a third preferred embodiment of the present invention. It is noteworthy that elements the same in the first and third preferred embodiments such as the substrate 102, the gate structure 110, the LDDs 118, the spacer 120, the recesses 122, and the epitaxial structures 130 formed in the recesses 122 are depicted by the same numerals, and those details are omitted herein for simplicity. Please still refer to FIG. 3. The semiconductor device 100 provided by the preferred embodiment also includes the SiGe epitaxial structures 130, and the concentration of the second semiconductor material, that is the Ge concentration, is exemplarily but not limited to 36% in the preferred embodiment. In addition, a surface of the epitaxial structures 130 is higher than a surface of the substrate 102 as shown in FIG. 3.

As mentioned above, to solve the dilemmatic problem, the preferred embodiment provides an undoped cap layer 140 formed on the epitaxial structures 130. It is noteworthy that the undoped cap layer 140 provided by the preferred embodiment is a multilayered cap layer 140 as shown in FIG. 3. The undoped cap layer 140 includes at least a first single layer 140a, a second single layer 140b and a third single layer 140c. As shown in FIG. 3, the first single layer 140a and the third single layer 140c are formed between the second single layer 140b and the epitaxial structure 130, while the third single layer 140c is formed between the first single layer 140a and the second single layer 140b. The undoped cap layer 140 (including the first single layer 140a, the second single layer 140b and the third single layer 140c) includes the first semiconductor material and the second semiconductor material as mentioned above, that is SiGe. According to the preferred embodiment, Ge in the first single layer 140a has a first concentration, Ge in the second single layer 140b has a second concentration, and Ge in the third single layer 140c has a third concentration. The first concentration, the second concentration, and the third concentration are all lower than the Ge concentration in the epitaxial structures 130. According to the preferred embodiment, the first concentration is preferably about 25%, the second concentration is preferably about 0%, and the third concentration is between the first concentration and the second concentration, preferably but not limited to about 10%. In other words, the second preferred embodiment provides a multilayered cap layer 140 has the Ge concentration upwardly decreased. In addition, a thickness of the undoped cap layer 140 is, exemplarily but not limited to, 150 Å in the preferred embodiment. It is also noteworthy that a thickness of the first single layer 140a, a thickness of the second single layer 140b, and a thickness of the third single layer 140c have a ratio, and the ratio is about 1:1:1 according to the preferred embodiment.

As mentioned above, the semiconductor device 100 provided by the preferred embodiment can also include an undoped under layer 150. Since details about the undoped under layer 150 will be described in the following preferred embodiments, therefore those details are omitted herein for simplicity.

According to the semiconductor device 100 having epitaxial structures provided by the third preferred embodiment, the multilayered cap layer 140 is formed on the surface of the epitaxial structures 130. The multilayered cap layer 140 upwardly includes the first single layer 140a, the third single layer 140c, and the second single layer 140b. All of the first single layer 140a, the third single layer 140c, and the second single layer 140b include SiGe. The Ge concentration in the first single layer 140a is preferably about 25%, the Ge concentration in third single layer 140c is preferably about 10%, and the Ge concentration in the second single layer 140b is preferably about 0%. In addition, thickness of the first single layer 140a, the third single layer 140c, and second single layer 140b are substantially identical. That means the multilayered cap layer 140 has the Ge concentration upwardly decreased. Since the first single layer 140a (Ge concentration of about 25%) and the third single layer 140c (Ge concentration of about 10%) are formed between the second single layer 140b (Ge concentration of about 0%) and the epitaxial structure 130 (Ge concentration of about 36%), the first single layer 140a and the third single layer 140c serve as buffer layers between the second single layer 140b and the epitaxial structure 130. Because the two single layers having upwardly decreased concentration are formed between the second single layer 140b and the epitaxial structure 130, the concentration gradient is flatter. Consequently, the cap layer 140 formed on the surface of the epitaxial structures 130 obtains a much smoother surface, and an AFM measured RMS roughness of the cap layer 140 is about 0.65 nm. Compared with the RMS roughness of the pure silicon cap layer, that is 4.21 nm, it is concluded that the surface roughness is much improved. Furthermore, since the Ge concentration of the second single layer 140b in the undoped cap layer 140 is 0%, the second single layer 140b serves as the reacting subject in the silicide process. Thus the agglomeration problem in the silicide process is prevented.

Please refer to FIGS. 4-5, which are schematic drawings respectively illustrating a semiconductor device having epitaxial structures provided by a fourth and fifth preferred embodiments of the present invention. It is noteworthy that elements the same in the first and fourth and fifth preferred embodiments such as the substrate 102, the gate structure 110, the LDDs 118, the spacer 120, the recesses 122, and the epitaxial structures 130 formed in the recesses 122 are depicted by the same numerals, and those details are omitted herein for simplicity.

As shown in FIG. 4, the fourth preferred embodiment provides an undoped under layer 150 (that is the under layer 150 mentioned in the first, second and third preferred embodiments) formed in the recesses 122. The undoped under layer 150 is formed between the epitaxial structure 130 and the substrate 102 and covers sidewalls and a bottom of the recess 122. As mentioned above, the undoped under layer 150 includes the first semiconductor material and the second semiconductor material. In other words, the undoped under layer 150 includes SiGe. To solve the Vt roll-off problem due to the distinctive difference between the lattice constant of the epitaxial structures 130 and that of the substrate 102, the preferred embodiment provides a single undoped under layer 150, and a Ge concentration of the undoped under layer 150 is lower than the Ge concentration of the epitaxial structures 130, exemplarily is about 25%.

It is noteworthy that because the undoped under layer 150 is provided to solve the problem that the lattice constant of the epitaxial structure 130 is distinctly different from that of the substrate 102, the undoped under layer 150 covering the bottom of the recess 122 cannot be formed so thick that the undoped under layer 150 occupies the space for forming the epitaxial structures 130, and thus reduces the strain stress provided to the channel region. Accordingly, a thickness of the undoped under layer 150 covering the sidewalls of the recess 122 and the thickness of the undoped under layer 150 covering the bottom of the recess 122 have a ratio and the ratio is about 1:1 in the preferred embodiment. It is found that when the undoped under layer 150 having Ge concentration of about 25% is formed in a process pressure of about 50 Torr, a ratio of the thickness of the single under layer 150 covering the sidewalls of the recess 122 and the thickness of the single under layer 150 covering the bottom of the recess 122 is about 1:2. Consequently, the space for forming the epitaxial structure 130 is reduced. Therefore the preferred embodiment forms the single under layer 150 in a process pressure of about 10 Torr. Accordingly, the thickness of the single under layer 150 covering the sidewalls of the recess 122 and the thickness of the single under layer 150 covering the bottom of the recess 122 approaches the expected ratio: 1:1.

According to the semiconductor device 100 having epitaxial structures provided by the fourth preferred embodiment, the single under layer 150 is formed in between the epitaxial structure 130 and the substrate 102. The single under layer 150 includes SiGe and the Ge concentration in the single under layer 150 is preferably about 25%. Since the single under layer 150 (Ge concentration of about 25%) is form between the substrate 102 (Ge concentration of about 0%) and the epitaxial structure 130 (Ge concentration of about 36%), the single under layer 150 serves as a buffer layer between the substrate 102 and the epitaxial structure 130. And thus the Vt roll-off problem caused by the distinctive difference between the lattice constant of the epitaxial structures 130 and that of the substrate 102 is mitigated. Moreover, since the ratio of the thickness of the single under layer 150 covering the sidewalls of the recess 122 and the thickness of the single under layer 150 covering the bottom of the recess 122 is about 1:1, the single under layer 150 is formed not to occupy the precious space used to form the epitaxial structure 130. Briefly speaking, the single under layer 150 provided by the preferred embodiment is able to solve the Vt roll-off problem without rendering impact to the strain stress.

Please refer to FIG. 5, which is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a fifth preferred embodiment of the present invention. As shown in FIG. 5, the fifth preferred embodiment provides an undoped under layer 150 (that is the undoped under layer 150 mentioned in the first, second and third preferred embodiments) formed in the recesses 122. The undoped under layer 150 is formed between the epitaxial structure 130 and the substrate 102 and covers sidewalls and a bottom of the recess 122. As mentioned above, the undoped under layer 150 includes the first semiconductor material and the second semiconductor material. In other words, the undoped under layer 150 includes SiGe. It is noteworthy that the undoped under layer 150 provided by the preferred embodiment is a multilayered under layer upwardly including a first single layer 150a, a second single layer 150b, and a third single layer 150c. Ge in the first single layer 150a has a first concentration, Ge in second single layer 150b has a second concentration, and Ge in the third single layer 150c has a third concentration. The first concentration, the second concentration, and the third concentration are all lower than the Ge concentration of the epitaxial structures 130. The third concentration is larger than the second concentration and the second concentration is larger than the first concentration. For example, the first concentration is about 10%, the second concentration is about 17%, and the third concentration is about 25%. In other words, the Ge concentration of the multilayered under layer 150 provided by the preferred embodiment is upwardly increased. In addition, a thickness of the first single layer 150a, a thickness of the second single layer 150b, and a thickness of the third single layer 150c have a ratio, and the ratio is between 1:1:1 and 1:1:2. It is noteworthy that with increase of the Ge concentration in the first single layer 150a, the second single layer 150b, and the third single layer 150c, the ratio of the thickness of the multilayered under layer 150 covering the sidewalls of the recess 122 and the thickness of the multilayered under layer 150 covering the bottom of the recess 122 is getting near to 1:1.

According to the semiconductor device 100 having epitaxial structures provided by the fifth preferred embodiment, the multilayered under layer 150 is formed in between the epitaxial structure 130 and the substrate 102. The multilayered under layer 150 includes the first single layer 150a, the second single layer 150b, and the third single layer 150c. The first single layer 150a, the second single layer 150b and the third single layer 150c all include SiGe. The Ge concentrations in the first single layer 150a, in the second single under layer 150b and in the third single layer 150c is increased from 10%, to 17%, and to 25%. Therefore the multilayered under layer 150 serves as a buffer layer between the substrate 102 (having the Ge concentration of 0%) and the epitaxial structure 130 (having the Ge concentration of 36%). Because the three single layers having upwardly increased Ge concentration are formed between the substrate 102 and the epitaxial structure 130, the concentration gradient is flatter. Consequently, the Vt roll-off problem caused by the distinctive difference between the lattice constant of the epitaxial structures 130 and that of the substrate 102 140b is mitigated. Moreover, since the ratio of the thickness of the multilayered under layer 150 covering the sidewalls of the recess 122 and the thickness of the multilayered under layer 150 covering the bottom of the recess 122 is about 1:1, the multilayered under layer 150 is formed not to occupy the precious space used to form the epitaxial structures 130. Briefly speaking, the multilayered under layer 150 provided by the preferred embodiment is able to solve the Vt roll-off problem without rendering impact to the strain stress.

It should be noted that the undoped under layer 150 provided by the fourth and fifth preferred embodiments of the present invention and the undoped cap layer 140 provided by the first, second, and third preferred embodiments can be formed in different combination in order to improve the semiconductor device 100 having the epitaxial structures 130.

According to the semiconductor device having epitaxial structures provided by the present invention, the epitaxial structures serving as the source/drain of the semiconductor device includes the undoped cap layer with lower Ge concentration formed on the surface of the epitaxial structures, therefore the agglomeration between the metals and Ge in the silicide process and the junction leakage are both prevented. Furthermore, the semiconductor device having epitaxial structures provided by the present invention also includes the undoped under layer with lower Ge concentration formed in the recess and in between the epitaxial structure and the substrate, therefore the Vt roll-off issue, which is caused by lattice constant difference between the SiGe epitaxial structure and the silicon substrate, is mitigated.

Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims

1. A semiconductor device having epitaxial structures comprising:

a gate structure positioned on a substrate;
epitaxial structures formed in the substrate at two sides of the gate structure, the epitaxial structure comprising a dopant, a first semiconductor material having a first lattice constant, and a second semiconductor material having a second lattice constant, the second lattice constant being larger than the first lattice constant, and the second semiconductor material in the epitaxial structure having a first concentration; and
an undoped cap layer formed on the epitaxial structures, the undoped cap layer comprising the first semiconductor material and the second semiconductor material, the second semiconductor material in the undoped cap layer having a second concentration, wherein the second concentration is lower than the first concentration and upwardly decreased.

2. The semiconductor device having epitaxial structures according to claim 1, further comprising:

lightly-doped drains (LDDs) formed in the substrate respectively at the two sides of the gate structure; and
a spacer formed on sidewalls of the gate structure.

3. The semiconductor device having epitaxial structures according to claim 1, wherein the first semiconductor material comprises silicon (Si) and the second semiconductor material comprises germanium (Ge).

4. The semiconductor device having epitaxial structures according to claim 1, wherein the second concentration is about 25%.

5. The semiconductor device having epitaxial structures according to claim 4, wherein the second concentration is upwardly decreased to 0%.

6. The semiconductor device having epitaxial structures according to claim 1, further comprising an undoped under layer positioned between the epitaxial structure and the substrate, the undoped under layer comprises the first semiconductor material and the second semiconductor material.

7. The semiconductor device having epitaxial structures according to claim 6, wherein a concentration of the second semiconductor material in the undoped under layer is between 10% and 25%.

8. The semiconductor device having epitaxial structures according to claim 7, wherein the concentration of the second semiconductor material in the undoped under layer is upwardly increased.

9. A semiconductor device having epitaxial structures comprising:

a gate structure positioned on a substrate;
epitaxial structures formed in the substrate at two sides of the gate structure, the epitaxial structure comprising a dopant, a first semiconductor material having a first lattice constant, and a second semiconductor material having a second lattice constant, the second lattice constant being larger than the first lattice constant, and the second semiconductor material in the epitaxial structure having a first concentration;
a first undoped cap layer formed on the epitaxial structures, the first undoped cap layer comprising the first semiconductor material and the second semiconductor material, the second semiconductor material in the first undoped cap layer having a second concentration, wherein the second concentration is lower than the first concentration and upwardly decreased; and
a second undoped cap layer formed on the first undoped cap layer, the second undoped cap layer comprising the first semiconductor material and the second semiconductor material, the second semiconductor material in the second undoped layer having a third concentration, and the third concentration is equal to 0%.

10. The semiconductor device having epitaxial structures according to claim 9, further comprising:

lightly-doped drains (LDDs) formed in the substrate respectively at the two sides of the gate structure; and
a spacer formed on sidewalls of the gate structure.

11. The semiconductor device having epitaxial structures according to claim 9, wherein the first semiconductor material comprises silicon (Si) and the second semiconductor material comprises germanium (Ge).

12. The semiconductor device having epitaxial structures according to claim 9, wherein the second concentration is about 25%.

13. The semiconductor device having epitaxial structures according to claim 9, wherein a thickness of the first undoped cap layer and a thickness of the second undoped cap layer have a ratio, and the ratio is about 1:2.

14. The semiconductor device having epitaxial structures according to claim 9, further comprising a third undoped cap layer formed between the first undoped cap layer and the second undoped cap layer, the second semiconductor material in the third undoped cap layer has a fourth concentration, and the fourth concentration is between the second concentration and the third concentration.

15. The semiconductor device having epitaxial structures according to claim 14, wherein the fourth concentration is about 10%.

16. The semiconductor device having epitaxial structures according to claim 15, wherein a thickness of the first undoped cap layer, a thickness of the second undoped cap layer, and a thickness of the third undoped cap layer have a ratio, and the ratio is about 1:1:1.

17. The semiconductor device having epitaxial structures according to claim 9, further comprising an undoped under layer positioned between the epitaxial structure and the substrate, the undoped under layer comprises the first semiconductor material and the second semiconductor material.

18. The semiconductor device having epitaxial structures according to claim 17, wherein a concentration of the second semiconductor material in the undoped under layer is between 10% and 25%.

19. The semiconductor device having epitaxial structures according to claim 18, wherein a concentration of the second semiconductor material in the undoped under layer is upwardly increased.

Patent History
Publication number: 20140191285
Type: Application
Filed: Mar 11, 2014
Publication Date: Jul 10, 2014
Applicant: UNITED MICROELECTRONICS CORP. (Hsin-Chu City)
Inventors: Chin-I Liao (Tainan City), Teng-Chun Hsuan (Tainan City), I-Ming Lai (Kaohsiung City), Chin-Cheng Chien (Tainan City)
Application Number: 14/203,581
Classifications
Current U.S. Class: With Lattice Constant Mismatch (e.g., With Buffer Layer To Accommodate Mismatch) (257/190)
International Classification: H01L 29/165 (20060101);