METHOD AND COMPOSITION FOR SELECTIVELY REMOVING METAL HARDMASK AND OTHER RESIDUES FROM SEMICONDUCTOR DEVICE SUBSTRATES COMPRISING LOW-K DIELECTRIC MATERIAL AND COPPER

- EKC Technology, Inc.

An aqueous removal composition having a pH in the range of from 2 to 14 and method for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, or alloy of Ti or W relative to low-k materials from a semiconductor substrate comprising said low-k materials having a TiN, TaN, TiNxOy, TiW, W, or alloy of Ti or W etching mask thereon wherein the removal composition comprises at least one oxidizing agent and a carboxylate compound.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS REFERENCE TO RELATED APPLICATIONS/INCORPORATION BY REFERENCE STATEMENT

This application claims the benefit of U.S. provisional application Ser. No. 61/889,968, filed Oct. 11, 2013, the entire contents of which are hereby incorporated herein by reference.

BACKGROUND OF THE INVENTION

The presently disclosed and claimed inventive concept(s) relates to compositions and methods for selectively removing metal hardmask and other residues from integrated circuit (IC) device substrates, and, more particularly, to compositions and methods useful for selectively removing TiN, TaN, TiNxOy, TiW, and W metal hardmask, and metal hardmasks comprising alloys of the foregoing, as well as other residues from such substrates comprising low-k dielectric materials, TEOS, copper, cobalt and other low-k dielectric materials, using carboxylate compounds.

Devices with critical dimensions on the order of 90 nanometers (nm) have involved integration of copper conductors and low-k dielectrics, and they require alternating material deposition processes and planarization processes. Plasma dry etching is commonly used to fabricate vertical sidewall trenches and anisotropic interconnecting vias in copper (Cu)/low-k dual damascene fabrication processes. As the technology nodes advance to 45 nm and smaller, the decreasing size of the semiconductor devices makes achieving critical profile control of vias and trenches more challenging. Integrated circuit device companies are investigating the use of a variety of metal hardmasks to improve etch selectivity to low-k materials and thereby gain better profile control.

In order to obtain high yield and low resistance, polymer residues on the sidewalls and the particulate/polymer residues at the via bottoms that are generated during etching must be removed prior to the next process step. It would be very beneficial if the cleaning solution can also effectively etch the metal hardmask to form an intermediate morphology, e.g., a pulled-back/rounded morphology, or completely remove the metal hardmask. A pulled-back/rounded morphology could prevent undercutting the hardmask, which, in turn, could enable reliable deposition of barrier metal, Cu seed layer and Cu filling. Alternatively, fully removing the metal hardmask using the same composition could offer numerous benefits to downstream process steps, particularly chemical mechanical polishing (CMP), by eliminating a need for barrier CMP.

Following almost every step in the fabrication process, e.g., a planarization step, a photolithography step, or an etching step, cleaning processes are required to remove residues of the plasma etch, photoresist, oxidizer, abrasive, metal and/or other liquids or particles that remain and which can contaminate the surface of the device if they are not effectively removed. Fabrication of advanced generation devices that require copper conductors and low-k dielectric materials (typically carbon-doped silicon oxide (SiOCH), or porous low-k materials) give rise to the problem that both materials can react with and be damaged by various classes of prior art cleaners.

Low-k dielectrics, in particular, may be damaged in the cleaning process as evidenced by etching, changes in porosity/size, and ultimately changes in dielectric properties. Time required to remove residues depends on the nature of the residue, the process (heating, crosslinking, etching, baking, and/or ashing) by which it was created, and whether batch or single wafer cleaning processes can be used. Some residues may be cleaned in a very short period of time, while some residues require much longer cleaning procedures. Compatibility with both the low-k dielectric and with the copper conductor over the duration of contact with the cleaner is a desired characteristic.

During back-end-of-line (BEOL) IC fabrication processes, i.e., dual damascene processes, TiN, TaN, TiNxOy, TiW, and/or W (including alloys of Ti and W) are used as an etching hard mask in the formation of vias and trenches to gain high selectivity to low-k dielectric materials during dry etching steps. Effective cleaning compositions are required that can selectively remove the TiN, TaN, TiNxOy, TiW or W, be compatible with low-k materials, copper, cobalt and other dielectric materials, and also simultaneously remove unwanted etching residues and Cu oxide from the resulting dual damascene structure. Beyond selective cleaning, it is also highly desirable that the achievable removal rate (Å/min) for the cleaning composition be maintained substantially constant for an extended period of time.

With the continuing reduction in device critical dimensions and corresponding requirements for high production efficiency and reliable device performance, there is a need for such improved cleaning compositions.

SUMMARY OF THE INVENTION

The presently disclosed and claimed inventive concept(s) relate to an improved semiconductor processing composition, i.e., a wet cleaning chemistry or removal composition, with one or more carboxylate compounds which provides highly selective removal of metal hardmask from a dual damascene structure without damaging wiring metallurgy and dielectric materials. Semiconductor substrates of the type fabricated in dual damascene back end metallization consist of multiple layers or levels of metal interconnects that are isolated by interlayer dielectrics. The described composition can remove metal hardmask etch residues, photoresist, polymeric materials, and copper oxide from via and trench surfaces without damaging underlying layers that form the structure. The substrates typically comprise copper, cobalt, a low-k dielectric material(s), SiON, SiCN, TEOS and metal hard mask selected from TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W. The removal composition comprises from 0.1 wt % to 90 wt % at least one oxidizing agent, from 0.0001 wt % to 50 wt % of a carboxylate compound, with the balance up to 100 wt % of the removal composition comprising water, e.g., deionized water.

Among the carboxylate compounds found to produce excellent results according to the inventive concept(s) described herein are ammonium carboxylates. Examples of ammonium carboxylates are ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate, and mixtures thereof. The presence of an ammonium carboxylate compound in the removal compositions of the invention not only increased TiN etch rate as shown in the Examples which follow, but the data support the conclusion that their presence also operates to stabilize the achievable TiN etch rate over an extended period of time, e.g., up to at least 35 hrs.

Although not required for carrying out the invention, at least one corrosion inhibitor may also be present in the composition, for example, where the composition is to be deployed in semiconductor processing at BEOL applications and other applications where corrosion of Cu or other metal components is a concern.

The composition may also include a base, for example, selected from the group consisting of quaternary ammonium salts, such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH) and benzyltrimethylammonium hydroxide (BTAH), and mixtures thereof. The base can also be selected from a primary, secondary or tertiary amine, such as, for example, monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA); and tetrabutyphosphonium hydroxide (TBPH) and mixtures thereof. In addition, the composition may include one or more acids, for example, an inorganic acid, such as sulfuric acid, nitric acid, phosphoric acid, hydrofluoric acid (HF), or hydrobromic acid, or an organic acid, such as a carboxylic acid, hydroxy carboxylic acid, polycarboxylic acid, amino acid, or a mixture of such acids, as appropriate to adjust the pH of the working composition to a value of from 2 to 14, but preferably in the range of from 3 to 13. In a preferred embodiment, for applications involving Cu interconnect fabrication, the pH of the removal composition is preferably in the range of from 7 to 12.

The composition may also include from 0.001 wt % to 20 wt % of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof, which, along with the carboxylate compound, has been observed to stabilize the composition. The term “stabilize” is used herein to mean that the achievable etch rate for a hard mask (e.g., a removal rate for TiN of 148 Å/min) remains substantially constant over an extended period of time, e.g., a time period of from twenty two (22) hours and up to thirty five (35) hours or longer at the selected operating temperature, for example, at an operating temperature of 50° C.

Oxidizing agents suitable for carrying out the inventive concepts can be selected from the group consisting of hydrogen peroxide (H2O2), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, n-methylmorpholine oxide (NMMO, NMO), ferric chloride, permanganate, peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, percarbonate, perborate, and mixtures thereof. Best results have been observed when the oxidizing agent is hydrogen peroxide (H2O2).

In another embodiment the invention comprises a method for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW or W, including alloys of Ti or W, relative to underlying low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate having a TiN, TaN, TiNxOy, TiW or W, etching mask thereon, including an etching mask comprising alloys of Ti or W, wherein the method comprises contacting the substrate with a removal composition comprising:

(a) from 0.1 wt % to 90 wt % at least one oxidizing agent;

(b) from 0.0001 wt % up to 50 wt % of an ammonium carboxylate selected from the group comprising one or more of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium Benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, and ammonium 1-H-pyrazole-3-carboxylate; and

(c) the balance up to 100 wt % of said removal composition comprising deionized water at a temperature in the range of from room temperature up to 80° C. and at a pH in the range of from 2 to 14, wherein the removal composition selectively removes said TiN, TaN, TiNxOy, TiW or W, including alloys of Ti and/or W, etching mask relative to said low-k, Cu, Co, TEOS and other dielectric materials.

In another embodiment the invention comprises a method for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW or W, including alloys of Ti and/or W, relative to underlying low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate having a TiN, TaN, TiNxOy, TiW or W, etching mask thereon, including an etching mask comprising alloys of Ti and/or W, wherein the method comprises contacting the substrate with a removal composition comprising:

(a) from 0.1 wt % to 90 wt % at least one oxidizing agent;

(b) from 0.0001 wt % up to 50 wt % of an ammonium carboxylate selected from the group comprising one or more of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium Benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, and ammonium 1-H-pyrazole-3-carboxylate;

(c) from 0.001 wt % to 20 wt % of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof; and

(d) the balance up to 100 wt % of said removal composition comprising deionized water at a temperature in the range of from room temperature up to 80° C. and at a pH in the range of from 2 to 14, wherein the removal composition selectively removes said TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, etching mask relative to said low-k, Cu, Co, TEOS and other dielectric materials, and the rate at which said etching mask is removed remains constant over an extended period of time which can be as long as thirty five (35) hours or longer.

In another embodiment, the described and claimed inventive concept(s) embraces an improvement to a composition and method for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW or W, including alloys of Ti or W, relative to underlying low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate having a TiN, TaN, TiNxOy, TiW or W, etching mask thereon, including an etching mask comprising alloys of Ti or W, wherein the improvement comprises incorporating into said removal composition from 0.0001 wt % to 50 wt % of an ammonium carboxylate selected from the group comprising ammonium oxalate, ammonium lactate, ammonium tartrate. ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate whereby said removal composition selectively removes said TiN, TaN, TiNxOy, TiW, W, or alloy of Ti or W etching mask relative to said low-k materials.

The amount and type of undesirable residue to be removed in any given processing step will influence the selection of operating pH for the composition.

The compositions and method according to the inventive concepts described herein are uniquely capable of selectively etching TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, are compatible with Cu, Co, low-k and TEOS dielectric materials, and can also simultaneously remove copper oxides, polymeric materials and etch residues from the substrate, i.e., the dual damascene structure, being treated.

A composition formulated according to the invention and exhibiting an inherently high etch rate for TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, enables processing at relatively low temperature, e.g., temperatures less than 65° C. A relatively low temperature process exhibits a reduced oxidizer decomposition rate, which, in turn, extends the useful composition bath life and pot life. Additionally, compositions according to the invention which exhibit high and selective etch rates for TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, are desirable because they can reduce device processing time and thereby increase throughput. Typically, high etch rates for TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, have been achieved by increasing process temperatures. However, for single wafer process applications, the highest processing temperature is around 75° C., which, in turn, can limit the upper end of etch rates for TiN, and thereby limit the ability for one to completely remove TiN metal hardmask from a dual damascene structure. Compositions according to the invention can effectively deliver high etch rates for TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, with single wafer tool applications at a temperature range of from 20° C. to 60° C., and the TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, metal hardmask can be fully removed with single wafer application process equipment if so desired.

BRIEF DESCRIPTION OF THE DRAWINGS

FIGS. 1A and 1B are cross-sectional SEM images of semiconductor wafer segments which show trenches and vias, respectively, during dual damascene device fabrication, but prior to contact with the removal composition of the invention.

FIGS. 2A and 2B are cross-sectional SEM images of semiconductor wafer segments of the type shown in FIGS. 1A and 1B after contact with removal composition 1 from Table 1 at 50° C. for 90 sec.

FIGS. 3A and 3B are cross-sectional SEM images of semiconductor wafer segments of the type shown in FIGS. 1A and 1B after contact with removal composition 2 from Table 1 at 50° C. for 90 sec.

FIGS. 4A and 4B are cross-sectional SEM images of semiconductor wafer segments of the type shown in FIGS. 1A and 1B after contact with removal composition 3 from Table 1 at 53° C. for 90 sec.

DETAILED DESCRIPTION OF THE INVENTION

It is recognized that various components of the compositions of this invention may interact, and, therefore, any composition is expressed as the amount of various components which, when added together, form the composition. Unless specifically stated otherwise, any composition given in percent is percent by weight (wt %) of that component that has been added to the composition. When the composition is described as being substantially free of a particular component, generally there are numeric ranges provided to guide one of ordinary skill in the art to what is meant by “substantially free,” but in all cases “substantially free” encompasses the preferred embodiment where the composition is totally free of that particular component.

As noted briefly above, the dual damascene process is used to form metal interconnects in the backend metallization, which are then used to electrically interconnect various electrical components in a semiconductor substrate into functional circuits. A discussion of backend metallization, which comprises fabrication of multiple levels, or layers, of metal interconnects isolated by an interlayer dielectric layer(s) and/or barrier layer(s) can be found, for example, in U.S. Pat. No. 8,080,475, the teachings of which are incorporated herein in their entirety by reference. The integration of new materials, such as ultra low-k dielectrics, into microelectronic devices places new demands on cleaning performance. Concurrently, shinking device dimensions reduces the tolerances for changes in critical dimensions for vias and trenches.

According to a first embodiment, the present invention is a semiconductor processing composition comprising water, at least one oxidizing agent, optionally at least one base or acid, depending on the desired pH for the working composition, and from 0.0001 wt % up to 50 wt % of an ammonium carboxylate. By way of example, and not by way of limitation, the ammonium carboxylate can be selected from the group comprising ammonium oxalate, ammonium lactate, ammonium tartrate. ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate and mixtures thereof.

In a preferred embodiment, the concentration of ammonium carboxylate is from 0.001 wt % up to 50 wt %. Although not required for carrying out the invention, at least one corrosion inhibitor may also be present in the composition where the composition is to be deployed in BEOL semiconductor processing applications and other applications where corrosion of metal components, e.g., Cu and Cu-alloy components, is a concern. In one embodiment, the formulations preferably have a pH of from 3 to 13. For BEOL Cu interconnect fabrication it is preferred to have a pH in the range of from 7 to 12.

The compositions of the invention are effective in selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW or W, including alloys of Ti and/or W, relative to low-k materials from a semiconductor substrate comprising said low-k dielectric material and having a TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and/or W, etching mask thereon. In addition, the composition is also functional in simultaneously removing photoresist, polymeric materials, etching residues and copper oxide from the substrate.

The compositions of the invention may also include from 0.001 wt % to 20 wt % of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof, preferably from 0.001 wt % to 10 wt %, and more preferably from 0.001 wt % to 5 wt %. The presence of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof, according to the described and claimed inventive concepts has been observed to stabilize the composition. The term “stabilize” is used herein to mean that the achievable etch rate for a hard mask (i.e., the removal rate) remains substantially constant over an extended period of time at the selected operating temperature. Examples of such chelating agents include, but are not limited to, 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane-N,N,N′,N′-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N′-bis(2-hydroxyphenylacetic acid) (EDDHA).

The compositions and method according to the inventive concepts described herein are particularly applicable for processing single wafers in single wafer equipment. When a high TiN etch rate is required, a common approach is to process wafers a high process temperatures. However, higher temperatures are known to contribute to degradation of the oxidizing agent which shortens bath life and pot life. It has been observed according to the inventive concepts described herein that satisfactory results can be achieved at substantially lower temperatures in the range of from 20° C. to 60° C. to generate a pullback scheme or to completely remove the metal hardmask when the hardmask comprises TiN.

Cosolvent

In some embodiments of this invention, the composition can contain one or more cosolvents that are miscible with water. These cosolvents enhance residue removal. Suitable cosolvents include, but are not limited to, sulfolane, N-methylpyrrolidone, and dimethylsulfoxide.

Oxidizing Agent

Oxidizing agents useful according to the inventive concept(s) are selected from any substance which has the capability to chemically react with the metal hardmask and effect its removal. Such oxidizing agents include, but are not limited to, the group consisting essentially of hydrogen peroxide (H2O2), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO3), ammonium chlorite (NH4ClO2), ammonium chlorate (NH4ClO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4ClO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)ClO2), tetramethylammionium chlorate ((N(CH3)4)ClO3), tetramethylammonium iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)ClO4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8), ((CO(NH2)2)H2O2), peracetic acid (CH3(CO)OOH), and mixtures thereof. Among the foregoing, H2O2 is a most preferred oxidizing agent being free of metals and providing ease of handling and lower relative cost.

The oxidizing agent or mixture thereof may be present in the composition at from about 0.1 wt % to about 90 wt %, preferably at from about 5 wt % to 90 wt %, and, for best results, preferably 10 wt % to 90 wt %.

pH Adjustment

The composition may also include a base or an acid, as appropriate, to adjust the pH of the working composition. The base can, for example, be selected from quaternary ammonium salts, such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH) and mixtures thereof. The base can also be selected from primary, secondary and tertiary amines, such as, for example, monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof. In some embodiments, the base can be a combination of quaternary ammonium salts and amines. Suitable acids include, for example, inorganic acids, such as sulfuric acid, nitric acid, phosphoric acid, hydrofluoric acid (HF), or hydrobromic acid, or an organic acid, such as a carboxylic acid, an amino acid, a hydroxy carboxylic acid, a polycarboxylic acid, or a mixture of such acids. The pH of the working composition should be maintained at a value of from 2 to 14, but preferably in the range of from 3 to 12. As noted above, when used in BEOL Cu interconnect fabrication applications, the preferred pH of the working composition is in the range of from 7 to 12 when hydrogen peroxide is used as oxidizer in order to achieve high TiN etch rates.

Metal Corrosion Inhibitor

A Cu or Co corrosion inhibitor, or a mixture thereof, is an optional component in the composition of this invention. A Cu or Co corrosion inhibitor(s) will usually be present in the inventive composition and associated process when used for BEOL applications, where the presence of a corrosion inhibitor is needed to protect metal surfaces from being etched or otherwise degraded. For other applications, including FEOL applications, of the inventive composition and associated method, a corrosion inhibitor(s) is not generally needed, i.e., Cu or Co, is not exposed to the cleaning chemistry, Cu or Co is absent from the wafer substrate, or slight etching/degradation of copper or cobalt surfaces is not usually a concern.

The metal (Cu or Co) corrosion inhibitor is an organic compound, such as an azole, thiol, and/or indole preferably selected from the group consisting of a heterocyclic compound containing at least one nitrogen atom, such as, for example, a pyrrole and derivatives thereof, pyrazole and derivatives thereof, imidazole and derivatives thereof, triazole and derivatives thereof, indazole and derivatives thereof, and thiol-triazole and derivatives thereof, benzotriazole (BTA), tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-amino-1,2,4-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo=F, CI, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole, 5-aminotetrazole monohydrate, 5-amino-1,3,4-thiadiazole-2-thiol, 2,4-diamino-6-methyl-1,3,5-triazine, thiazole, triazine, methyltetrazole, 1,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, 1-phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, mercaptobenzimidazole, 4-methyl-4H-1,2,4-triazole-3-thiol, 5-amino-1,3,4-thiadiazole-2-thiol, benzothiazole, and mixtures thereof. Among the foregoing, BTA, pyrazole, or a mixture of BTA and pyrazole, or a mixture of BTA and tolyltriazole (available commercially from Wincom, Inc. under the name “Wintrol A-90”), are preferred Cu corrosion inhibitors for better cleaning performance.

The Cu or Co corrosion inhibitor or mixture thereof may be present in the composition at from about 0.0001 wt % to about 50 wt %, and preferably, for best results, at from about 0.0001 wt % to about 20 wt %.

Other suitable Cu or Co corrosion inhibitors include, but are not limited to aromatic hydrazides and Schiff base compounds.

Carboxylates

The described and claimed inventive concept(s) reside in the discovery that complete removal of metal hard mask from semiconductor devices wherein said metal hardmask is in overlapping relationship with a low-k dielectric material can be accomplished by incorporating into the removal composition an effective amount of from 0.0001 wt % up to 50 wt % of a carboxylate compound, but particularly an ammonium carboxylate. In a preferred embodiment, the concentration of ammonium carboxylate is from 0.001 wt % up to 10 wt %.

As shown in the Examples which follow, the presence of an ammonium carboxylate compound in the removal compositions of the invention not only increased TiN etch rate, but the data support the conclusion that their presence also operates to stabilize the achievable TiN etch rate over an extended period of time, e.g., up to at least 35 hrs.

The term “carboxylate” is used herein to mean the general formula M(RCOO)n, where M is a metal and n is 1, 2, . . . is the number of carboxylate esters within the compound having the general formula RCOOR′, wherein R and R′ are organic groups with the proviso that R′≠H. When chemistries of the type described herein are used in electronic device fabrication, such as fabricating IC devices, it is preferable not to have any metal impurities in the chemical composition. In such cases, M is replaced with NH4+. Ammonium carboxylates are preferred chemicals for use in the removal formulation(s), and they can be added directly to the composition, or they can be generated as byproducts or intermediates by chemical reaction during processing.

EXAMPLES

Removal compositions according to the invention are now explained in detail by reference to the inventive concepts and examples which follow, but the present invention is not limited by these examples and the results shown for each test. Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described. In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.0001 wt %, based on the total weight of the composition in which such components are employed.

In the examples which follow, 100 g. samples of removal compositions were prepared according to the inventive concept(s) described herein. Each sample composition comprised each of the components listed in the various tables which follow at the weights shown in the corresponding formulation row. For example, a 100 g. quantity of sample composition designated “1” shown in Table 1 contained 2 g. of 10% aqueous ammonium tartrate, 7.21 g. of 10% aqueous DGA, 12.43 g. of 1.5% aqueous BTA, 60 g. H2O2 (30% aqueous), and 18.36 g. deionized water (DIW).

The removal compositions can be formulated at the point of use, or they can be conveniently formulated beforehand without an oxidizer and then taken to the point of use where the oxidizer is added. There is also no particular sequence for mixing or blending the various ingredients.

TiN, Cu, Co, W and TEOS Etch Rate

Etch rate evaluations were carried out after 1 and 2 minutes of chemical treatment at 60° C. and 50° C., respectively, for TiN and 10 minutes for Cu, Co, W, and TEOS. TiN, Cu, Co, and W thicknesses were measured using a Four Dimensions Four Point Probe Meter 333A, whereby the resistivity of the film was correlated to the thickness of the film remaining after contact with the composition of the invention. The TEOS thickness was measured with Auto SE Spectroscopic Ellipsometer by HORIBA JOBIN YVON. The etch rate was calculated as the thickness change (before and after chemical treatment) divided by the chemical treatment time. Chemical solution pH was measured with a Beckman 260 pH/Temp/mV meter. The H2O2 used in the experiments was sourced from J. T. Baker. Residue removal efficiency and TiN hardmask etch were evaluated from SEM results (Hitachi S-5500).

The compositions shown in Table 1 were prepared using deionized water as the solvent, BTA or a mixture of BTA and pyrazole as Cu corrosion inhibitor, H2O2 as the oxidizing agent, and diglycolamine (DGA) or benzyltrimethylammonium hydroxide (BTAH) as the base to adjust pH. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and a pH of about 8.

TABLE 1 Benzyl- trimethyl- Ammonium ammonium Tartrate DGA Hydroxide BTA H2O2 TiN Cu Test (10%) (10%) (40%) Pyrazole (1.5%) (30%) DIW pH (Å/min) (Å/min) 1 2 7.210 0 0 12.43 60 18.36 8.4 178 (50° C.) 1.3 (50° C.) 2 3 3.010 0 0.5 10 70 13.49 7.6 209 (50° C.) 1.9 (50° C.) 3 3.5 0.000 2.564 0.2 11.25 60 22.49 8.4 340 (53° C.) 2.5 (53° C.)

Compositions 1, 2 and 3 demonstrated a removal rate for TiN in the range of from 178 Å/min up to 340 Å/min at a relatively low temperature in the range of from 50° C. to 53° C. A copper etch rate of 2.5 Å/min or less is considered good for commercial wafer processing.

Referring now to the Figs., FIGS. 1A and 1B are SEM images of semiconductor wafer segments which show trenches and vias, respectively, as received following a dual damascene fabrication step, but before treatment with a removal composition. FIGS. 2A and 2B are views of the wafer segments, similar to the wafer segments shown in FIGS. 1A and 1B, after contact with removal composition 1 for 90 sec. at a temperature of 50° C. Residue was removed, but some TiN hardmask remained as noted in FIG. 2A. FIGS. 3A and 3B are views of wafer segments, similar to the wafer segments shown in FIGS. 1A and 1B, after contact with removal composition 2 for 90 sec. at a temperature of 50° C. wherein TiN hardmask and residue have been completely removed. FIGS. 4a and 4B are views of wafer segments, similar to the wafer segments shown in FIGS. 1A and 1B, after contact with removal composition 3 for 90 sec. at a temperature of 53° C. TiN hardmask and residue have been completely removed.

The compositions shown in Table 2 were prepared using deionized water as the solvent, BTA as Cu corrosion inhibitor, H2O2 as the oxidizing agent, and tetramethylammonium hydroxide (TMAH) as the base to adjust pH. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 60° C. and a pH of about 7.8.

Each of the removal compositions, which contain, respectively, the compounds ammonium lactate, ammonium tartrate, ammonium carbonate, and ammonium citrate tribasic at the amounts indicated, demonstrated a higher TiN etch rate compared with the corresponding control, composition 4, that did not contain an ammonium carboxylate.

TABLE 2 Ammonium Ammonium Ammonium Ammonium Citrate TiN Cu Lactate Tartrate Carbonate Tribasic BTA TMAH H2O2 (Å/min) (Å/min) Test (10%) (10%) (10%) (10%) (1.5%) (25%) DIW (30%) pH at 60° C. at 60° C. 4 0 0 0 0 12 0.816 27.18 60 7.8 215 0.98 5 1.632 0 0 0 12 0.409 25.96 60 7.8 404 1.61 6 0 2.807 0 0 12 0.818 24.38 60 7.8 464 1.33 7 0 0 1.46 0 12 0.305 26.24 60 7.8 432 1.61 8 0 0 0 3.706 12 0.869 23.43 60 7.8 436 1.84

The formulations shown in Table 3 were prepared, and TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and pH of 8. The removal compositions demonstrated a higher TiN etch rate and similar copper etch rate when compared to the control, composition 9, that did not contain an ammonium carboxylate.

TABLE 3 Saturated Tetrabutyl- Ammonium Ammonium Ammonium phosphonium TiN Cu Carbonate Acetate Oxalate BTA Hydroxide H2O2 (Å/min) (Å/min) Test (10%) (10%) (5.5%) (1.5%) (40%) DIW (30%) pH at 50° C. at 50° C. 9 0 0 0 12 1.592 26.41 60 8 68 0.99 10 1.46 0 0 12 0.998 25.54 60 8 170 0.94 11 0 1.172 0 12 1.575 25.25 60 8 150 1.28 12 0 0 1.887 12 1.530 24.58 60 8 154 1.17

The formulations shown in Table 4 were prepared using DGA to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 13, that did not contain an ammonium carboxylate.

TABLE 4 Saturated Ammonium Ammonium Ammonium TiN Cu Carbonate Acetate Oxalate BTA DGA H2O2 (Å/min) (Å/min) Test (10%) (10%) (5.5%) (1.5%) (10%) DIW (30%) pH at 50° C. at 50° C. 13 0 0 0 12 2.645 25.36 60 7.9 98 0.33 14 1.46 0 0 12 1.790 24.75 60 8.0 147 1.62 15 0 1.172 0 12 2.601 24.23 60 8.0 146 0.2 16 0 0 1.887 12 2.502 23.61 60 7.9 140 0.83

The formulations shown in Table 5 were prepared using TMAH to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 17, that did not contain an ammonium carboxylate.

TABLE 5 Saturated Ammonium Ammonium Ammonium TiN Cu Carbonate Acetate Oxalate BTA TMAH H2O2 (Å/min) (Å/min) Test (10%) (10%) (5.5%) (1.5%) (25%) DIW (30%) pH at 50° C. at 50° C. 17 0 0 0 12 0.975 27.03 60 8 99 0.12 18 1.46 0 0 12 0.611 25.93 60 8 200 1.85 19 0 1.172 0 12 0.866 25.96 60 8 191 1.08 20 0 0 1.887 12 0.828 25.29 60 8 197 1.53

The formulations shown in Table 6 were prepared using benzyltrimethylammonium hydroxide (BTAH) to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and pH of about 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 21, that did not contain an ammonium carboxylate.

TABLE 6 Benzyl- Saturated trimethyl- Ammonium Ammonium Ammonium ammonium TiN Cu Carbonate Acetate Oxalate BTA Hydroxide H2O2 (Å/min) (Å/min) Test (10%) (10%) (5.5%) (1.5%) (40%) DIW (30%) pH at 50° C. at 50° C. 21 0 0 0 12 1.127 26.87 60 8 100 0.7 22 1.46 0 0 12 0.744 25.80 60 8 178 0.63 23 0 1.172 0 12 1.078 25.75 60 8 174 0.54 24 0 0 1.887 12 1.036 25.08 60 8 164 0.75

The formulations shown in Table 7 were prepared using tetraethylammonium hydroxide (TEAH) to adjust the pH, and BTA was used as the copper corrosion inhibitor. TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 25, that did not contain an ammonium carboxylate.

TABLE 7 Saturated Ammonium Ammonium Ammonium Ammonium Citrate Ammonium TiN Cu Carbonate Acetate Oxalate Tribasic Tartrate BTA TEAH H2O2 (Å/min) (Å/min) Test (10%) (10%) (5.5%) (10%) (10%) (1.5%) (20%) DIW (30%) pH at 50° C. at 50° C. 25 0 0 0 0 0 12 2.46 25.54 60 8 94 0.39 26 1.46 0 0 0 0 12 1.64 24.90 60 8 214 0.92 27 0 1.172 0 0 0 12 2.66 24.17 60 8 218 0.01 28 0 0 1.887 0 0 12 2.74 23.37 60 8 197 −0.72 29 0 0 0 3.706 0 12 2.60 21.69 60 8 235 −0.46 30 0 0 0 0 2.807 12 2.53 22.66 60 8 209 0.03

The formulations shown in Table 8 were prepared using DGA to adjust the pH, but no copper corrosion inhibitor was used. TiN and TEOS removal rate evaluations were carried out as described above at a temperature of 50° C. and pH of about 8. The removal compositions demonstrated a high TiN etch rate in the range of from a low of 144 Å/min to a high of 179 Å/min when compared to the control, composition 31, which had a TiN etch rate of 87 Å/min. The presence of the compounds ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate and ammonium tartrate at concentrations of from 1.46 wt % to less than 3 wt % operate to provide the removal compositions of the invention with the capability to deliver very high TiN etch rates at relatively low temperature, e.g., 50° C. It is noteworthy according to the described and claimed inventive concepts that none of the compounds ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate or ammonium tartrate had significant effect on TEOS removal rate when compared to the control, composition 31.

TABLE 8 Saturated Ammonium Ammonium Ammonium Ammonium Ammonium TiN TEOS Carbonate Acetate Oxalate Lactate Tartrate DGA H2O2 (Å/min) (Å/min) Test (10%) (10%) (5.5%) (10%) (10%) (10%) DIW (30%) pH at 50° C. at 50° C. 31 0 0 0 0 0 2.65 37.35 60 7.93 87 0.01 32 1.46 0 0 0 0 1.52 37.02 60 7.94 161 0.2 33 0 1.172 0 0 0 2.37 36.46 60 7.90 165 −0.1 34 0 0 1.887 0 0 2.38 35.73 60 7.90 144 0.61 35 0 0 0 1.632 0 0.94 37.43 60 7.91 181 0.48 36 0 0 0 0 2.807 2.19 35.00 60 7.91 179 0.07

The formulations shown in Table 9 were prepared without the use of a pH adjustment agent. The Cu corrosion inhibitor used was Wintrol A-90, a commercial mixture of BTA and tolyltriazole. The desired TiN and Cu etch rates and pH were obtained by varying hydrogen peroxide and ammonium carboxylate concentrations. In these examples, several carboxylates in various concentrations were used. Hydrogen peroxide concentration was either 20 wt % or 80 wt %. The formulation pH's ranged from a low of pH 5 up to pH 8.4, and the TiN etch rate, i.e., the removal rate, ranged from a low of 18 Å/min up to 170 Å/min.

TABLE 9 Saturated Ammonium Ammonium Ammonium Ammonium TiN Cu Carbonate Acetate Oxalate Lactate Wintrol H2O2 (Å/min) (Å/min) Test (10%) (10%) (5.5%) (10%) A-90 DIW (30%) pH at 50° C. at 50° C. 37 1.46 0 0 0 0.8 77.74 20 7.9 65 −0.81 38 2.92 0 0 0 0.8 76.28 20 8.3 82 −2.61 39 4.38 0 0 0 0.8 74.82 20 8.4 88 0.02 40 0 1.172 0 0 0.8 78.03 20 6.1 18 −1.75 41 0 0 1.887 0 0.8 77.31 20 5.6 19 0.19 42 0 0 0 1.632 0.8 77.57 20 7.8 55 −1.3 43 1.46 0 0 0 0.8 17.74 80 6.8 133 0.34 44 0 1.172 0 0 0.8 18.03 80 5.5 69 −0.41 45 0 0 1.887 0 0.8 17.31 80 5.0 71 −0.85 46 0 0 0 1.632 0.8 17.57 80 7.0 170 0.26

The formulations shown in Table 10 were prepared with tartaric acid, or TMAH, or without any pH adjustment agent. Wintrol A-90 was used as a Co corrosion inhibitor. In these examples, several carboxylates in various concentrations were used. Hydrogen peroxide concentration ranged from 20 wt % to 80 wt %. The formulation pH ranged from a low of pH 5 up to pH 11. The Co etch rate was insignificant in all cases (i.e., the highest Co etch rate was 1.17 Å/min).

TABLE 10 Saturated Ammonium Ammonium Ammonium Ammonium Tartaric Co Tartrate Lactate Oxalate Carbonate Wintrol Acid TMAH H2O2 (Å/min) Test (10%) (10%) (5.5%) (10%) A-90 (10%) (25%) DIW (30%) pH at 50° C. 47 0 1.632 0 0 0.8 0.880 0 36.69 60 5.0 −0.01 48 2.807 0 0 0 0.8 0.036 0 36.36 60 5.0 0.31 49 0 0 1.887 0 0.8 0 0 17.31 80 5.0 0.09 50 0 0 1.887 0 0.8 0 20.41 56.90 20 11.0 1.04 51 0 0 0 1.46 0.8 0 0 17.74 80 6.8 0.27 52 0 0 0 1.46 0.8 0 20.66 57.08 20 11.0 1.17

The results shown in Table 11, below, indicate that a mixture of ammonium lactate and ammonium tartrate in removal composition 54 exhibited a higher TiN etch rate when compared to the control, composition 53, which contained no ammonium carboxylate.

TABLE 11 Ammonium Ammonium TiN Cu Co Lactate Tartrate BTA TMAH H2O2 (Å/min) (Å/min) (Å/min) Test (10%) (10%) (1.5%) (25%) DIW (30%) pH at 50° C. at 50° C. at 50° C. 53 0 0 12 1.28 26.72 60 8 135 −0.1 0.3 54 0.041 0.702 12 1.49 25.77 60 8 203 0.2 0.8

The formulations shown in Table 12 were prepared using TMAH to adjust the pH, and BTA was used as copper corrosion inhibitor. Carboxylates used were potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, and potassium L-lactate in compositions 56, 57 and 58, respectively. Each of these compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 55, that did not contain a carboxylate.

TABLE 12 Potassium Potassium Citrate Sodium Tribasic Tartrate Potassium TiN Cu Monohydrate Tetrahydrate L-Lactate BTA TMAH H2O2 (Å/min) (Å/min) Test (100%) (100%) (60%) (1.5%) (25%) DIW (30%) pH at 50° C. at 50° C. 55 0 0 0 12 1.266 26.73 60 8.0 110 0.1 56 0.4944 0 0 12 1.194 26.31 60 8.0 192 1.5 57 0 0.432 0 12 1.266 26.30 60 8.0 175 −0.1 58 0 0 0.3256 12 1.252 26.42 60 8.0 167 0.1

The results shown in Table 13 indicate that at ammonium carboxylate concentrations as low as 0.001 wt %, removal compositions 60 through 63 exhibited higher TiN etch rates and similar Cu and Co etch rates when compared to the control, composition 59

TABLE 13 Ammonium Ammonium Ammonium Ammonium Citrate TiN Cu Co Lactate Tartrate Carbonate Tribasic BTA TMAH H2O2 (Å/min) (Å/min) (Å/min) Test (10%) (10%) (10%) (10%) (1.5%) (25%) DIW (30%) pH at 50° C. at 50° C. at 50° C. 59 0 0 0 0 12 1.30 26.70 60 8 86 0.3 0.7 60 0.01 0 0 0 12 1.69 26.30 60 8 93 0.2 0.6 61 0 0.01 0 0 12 1.51 26.48 60 8 107 0.0 0.6 62 0 0 0.01 0 12 1.53 26.46 60 8 119 0.4 0.6 63 0 0 0 0.01 12 1.37 26.62 60 8 102 0.1 0.4

The results shown in Table 14 indicate that at an ammonium acetate concentration of 50 wt %, removal composition 65 exhibited a higher TiN etch rate and similar Cu and Co etch rates when compared to the control, composition 64, which contained no ammonium carboxylate.

TABLE 14 Ammonium TiN Cu Co Acetate BTA DGA H2O2 (Å/min) (Å/min) (Å/min) Test (100%) (1.5%) (10%) DIW (30%) pH at 30° C. at 30° C. at 30° C. 64 0 12 1.060 56.94 30 7.8 8 0.3 0.1 65 50 12 4.450 3.55 30 7.8 18 1.6 0.3

Tungsten (W) Etch Rate

The formulations shown in Table 15 were prepared, and W (tungsten) etch rate evaluations were carried out at temperatures of 45° C. and 55° C. as described above in connection with TiN removal.

TABLE 15 Saturated Ammonium Ammonium Ammonium Ammonium Tartaric W Carbonate Acetate Oxalate Tartrate DGA TMAH Acid H2O2 (Å/min) Test (10%) (10%) (5.5%) (10%) (10%) (25%) (10%) DIW (30%) pH at 45° C. 66 0 0 0 0 2.645 0 0.00 37.4 60 7.9 173 67 1.46 0 0 0 1.790 0 0.00 36.8 60 8.0 401 68 0 1.172 0 0 2.601 0 0.00 36.2 60 8.0 444 69 0 0 1.887 0 2.502 0 0.00 35.6 60 7.9 361 70 0 0 0 0 0.000 19.517 0.00 20.5 60 11.1 365 71 0 0 0 3 0.000 20.272 0.00 16.7 60 11.1 771 72 0 0 0 0 0.000 0 0.42 40.0 60 3.9  751 (55° C.) 73 0 0 0 3 0.000 0 0.48 36.5 60 3.9 1046 (55° C.)

The presence of ammonium carboxylate at a concentration of 1.46 wt % to 3 wt % and at a pH ranging from about 4 to slightly higher than 11 was shown to significantly increase the W removal rate when compared to the corresponding ammonium carboxylate-free control compositions 66, 70 and 72 at the same pH.

Composition Stability

As noted above, the presence of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof, was observed to unexpectedly stabilize the compositions of the invention. The term “stabilize” is used herein to mean that the achievable etch rate for a hard mask, i.e., the rate at which hardmask is removed, remains substantially constant over an extended period of time, e.g., a time period of from twenty two (22) hours up to at least thirty five (35) hours at the selected operating temperature. As used herein the term “substantially constant” is intended to mean that the achievable etch rate at which hard mask is removed does not drop more than 15 Å/min during the useful life of the composition at the selected operating temperature. Examples of chelating agents which are operable according to the described and claimed inventive concepts include, but are not limited to, 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid (CDTA); ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane-N,N,N′,N′-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N′-bis(2-hydroxyphenylacetic acid) (EDDHA).

Pot life is a measure of the ability of the removal composition formula to perform optimally over time and without significant variation in functionality over time. Pot life is a strong function of temperature. After many hours of treatment at high temperature, the chemicals in the mixture can decompose and the formula will lose functionality.

Pot life studies were conducted (to confirm the period of time during which, and the extent to which, the etch rates of the removal compositions of the invention remained constant) as follows: 800 gram stock solutions were prepared and maintained at 50° C. 150 gram samples were removed from the heated stock solution and used for TiN and Cu etch rate and pH studies at specific times at 50° C. The samples were discarded after each etch rate measurement.

Removal compositions were prepared according to the described and claimed inventive concept(s) wherein ammonium tartrate was selected as the ammonium carboxylate at a concentration of 0.3 wt %. 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid (CDTA) was selected as the aminopolycarboxylic acid chelating agent in formulation 74 and 75, and no chelating agent was included in the control formulation 76. The compositions are shown in Table 16.

Samples were taken from the removal compositions at intervals of 0, 2, 4, 7.5 and 22 hours to measure TiN and Cu etch rates. Results are shown in Table 17.

TABLE 16 Ammonium Tartrate CDTA TEAH BTA H2O2 Test (10%) Pyrazole (100%) (20%) (1.5%) DIW (30%) pH 74 3 0.3 0.200 3.122 12.120 21.258 60 8.07 75 3 0.3 0.607 5.482 12.120 18.491 60 8.05 76 3 0.3 0.000 2.220 12.120 22.36 60 8.15

TABLE 17 TiN (Å/min) Cu (Å/min) Test Time (hr) at 50° C. at 50° C. 74 0 168.1 0.12 75 157.0 0.03 76 219.0 −0.02 74 2 166.0 0.53 75 143.7 0.46 76 211.2 0.45 74 4 163.7 1.42 75 155.5 0.90 76 203.6 0.62 74 7.5 159.4 0.77 75 157.4 1.11 76 166.6 −0.02 74 22 156.6 0.53 75 156.4 1.47 76 99.9 0.52

The data presented in Table 17 demonstrates that with CDTA in removal compositions 74 and 75, the TiN etch rate remained stable, i.e., substantially constant, over a period of 22 hours. The initial TiN etch rate was 157 Å/min, and it remained at 156 Å/min for composition 75 over a 22 hour period. For composition 74 the initial TiN etch rate was 168 Å/min and remained at 157 Å/min over a 22 hour period. In composition 76, without CDTA, the TiN etch rate declined from an initial etch rate of 219 Å/min to an etch rate of 99 Å/min after 22 hours.

Removal compositions were prepared according to the described and claimed inventive concept(s) wherein ammonium tartrate was selected as the ammonium carboxylate at a concentration of 0.3 wt %. 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid (CDTA) was selected as the aminopolycarboxylic acid chelating agent in formulation 77 and 78. The compositions are shown in Table 18.

Samples were taken from the removal compositions at intervals of 0, 2, 4, 7 and 24 hours to measure TiN and Cu etch rates. Results are shown in Table 19.

TABLE 18 Ammonium Tartrate CDTA TEAH BTA H2O2 Test (10%) Pyrazole (100%) (20%) (1.5%) DIW (30%) pH 77 3 0.3 0.001 0.404 12.12 74.18 10 8 78 3 0.3 0.005 0.632 12.12 73.94 10 8.13

TABLE 19 Time TiN (Å/min) Cu (Å/min) Test (hrs) at 50° C. at 50° C. 77 0 27.33 1.02 78 26.91 −0.425 77 4 27.56 0.55 78 26.23 −1.41 77 7 25.65 0.61 78 26.65 0.835 77 24 24.41 1.06 78 26.24 1.56

The data presented in Table 19 demonstrate that with 0.001% and 0.005% of CDTA in removal compositions 77 and 78, respectively, the TiN etch rate remained stable, i.e., substantially constant, over a period of 24 hours. The initial TiN etch rate was 27.33 Å/min, and it remained at 24.41 Å/min for composition 77 over a 24 hour period. For composition 78, the initial TiN etch rate was 26.91 Å/min and remained at 26.24 Å/min over a 24 hour period.

The formulations shown in Table 20 were prepared using DGA to adjust the pH, and BTA was used as copper corrosion inhibitor. Tetraammonium EDTA was used to stabilize the TiN etch rate.

A pot life study was conducted according to the method described above. Samples were taken at intervals of 0, 2, 4, 8, 24, 28 and 35 hours to measure TiN and Cu etch rates and pH. Results are shown in Table 21.

TABLE 20 Tetra- Ammonium ammonium Tartrate DGA EDTA BTA H2O2 Test (10%) Pyrazole (10%) (10%) (1.5%) DIW (30%) pH 79 0 0.3 1.400 8 12.12 18.18 60 7.9 80 3 0.3 3.330 0 12.12 21.25 60 7.9

TABLE 21 TiN (Å/min) Cu (Å/min) Test Time (hr) at 50° C. at 50° C. pH 79 0 208 1.9 7.45 (46.9° C.) 80 209 1.0 7.56 (47.0° C.) 79 2 217 0.4 7.43 (46.2° C.) 80 163 0.2 7.49 (46.3° C.) 79 4 205 1.0 7.34 (47.0° C.) 80 150 0.4 7.33 (46.4° C.) 79 8 214 1.4 7.31 (47.4° C.) 80 143 0.4 6.99 (47.5° C.) 79 24 218 1.2 7.22 (46.6° C.) 80 106 0.3 6.61 (46.3° C.) 79 28 190 0.7 7.21 (46.0° C.) 80 N/A N/A N/A 77 35 194 1.0 7.20 (47.1° C.) 80 N/A N/A N/A

The experimental results shown in Table 21 demonstrate that with tetraammonium EDTA in removal composition 79, the TiN etch rate remained stable, i.e., remained substantially constant, over a period of thirty five (35) hours. The initial TiN etch rate was 208 Å/min, and it remained at 194 Å/min over the thirty five (35) hour period. In composition 80, without tetraammonium EDTA, the TiN etch rate dropped from an initial rate of 209 Å/min to a rate of 106 Å/min after 24 hours.

The formulations in Table 22 were prepared using DGA to adjust pH. BTA was used as copper corrosion inhibitor. The ammonium carboxylate selected was tetraammonium EDTA. The results shown in Table 22 indicate that tetraammonium EDTA in removal composition 81 exhibited a higher TiN etch rate when compared to the control, composition 82, which contained no ammonium carboxylate.

TABLE 22 Tetra- ammonium TiN Cu DGA EDTA BTA H2O2 (Å/min) (Å/min) Test Pyrazole (10%) (10%) (1.5%) DIW (30%) at 50° C. at 50° C. pH 81 0.3 1.740 6.0 12.12 19.84 60 233 1.97 7.9 82 0.3 3.360 0.0 12.12 24.22 60 134 0.18 7.9

The presence of ammonium carboxylate in the removal compositions of the invention not only increased TiN etch rate as shown in Tables 2 through 8, 11, 13 through 15, and 22, but the data support the conclusion that their presence also operates to stabilize the TiN etch rate over an extended period of time, e.g., up to at least 35 hrs.

Several embodiments of the inventive concepts have been described. However, those ordinarily skilled in the art will recognize that the invention is not limited to the embodiments described. The inventive concepts can be practiced with modifications and alteration within the spirit and scope of the appended claims.

Claims

1. A removal composition having a pH in the range of from 2 to 14 for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, and alloys of Ti and W relative to low-k dielectric material from a semiconductor device substrate which comprises said low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, or alloy of Ti or W etching mask thereon, wherein said removal composition comprises:

(a) from 0.1 wt % to 90 wt % of an oxidizing agent;
(b) from 0.0001 wt % to 50 wt % of an ammonium carboxylate; and
(c) the balance up to 100 wt % of said removal composition comprising deionized water.

2. The removal composition of claim 1 further comprising an organic cosolvent that is miscible with water.

3. The removal composition of claim 1 or claim 2 wherein (a) said oxidizing agent is selected from the group consisting essentially of hydrogen peroxide (H2O2), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO3), ammonium chlorite (NH4ClO2), ammonium chlorate (NH4ClO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4ClO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)ClO2), tetramethylammionium chlorate ((N(CH3)4)ClO3), tetramethylammonium iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)ClO4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8), ((CO(NH2)2)H2O2), peracetic acid (CH3(CO)OOH), and mixtures thereof; and

(b) said ammonium carboxylate is selected from the group comprising ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate, and mixtures thereof.

4. The removal composition of claim 3 wherein said oxidizing agent is hydrogen peroxide.

5. The removal composition of claim 1 further comprising from 0.001 wt % to 20 wt % of an amino acid, an aminopolycarboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting essentially of 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane-N,N,N′,N′-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N′-bis(2-hydroxyphenylacetic acid) (EDDHA).

6. The removal composition of claim 1 further comprising from 0.0001 wt % up to 50 wt % of a metal corrosion inhibitor.

7. The removal composition of claim 1 wherein the pH is in the range of from 3 to 13 and said oxidizing agent is hydrogen peroxide.

8. The removal composition of claim 7 wherein the pH is in the range of from 7 to 12.

9. A method for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, or an alloy of Ti or W relative to low-k materials from a semiconductor substrate comprising said low-k materials having an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, or an alloy of Ti or W thereon wherein the method comprises contacting the substrate with a removal composition comprising:

(a) from 0.1 wt % to 90 wt % at least one oxidizing agent,
(b) from 0.0001 wt % to 50 wt % of an ammonium carboxylate; and
(c) the balance up to 100 wt % of said removal composition comprising deionized water at a temperature in the range of from room temperature up to 80° C. and at a pH in the range of from 3 to 13 for a time wherein said removal composition selectively removes said TiN, TaN, TiNxOy, TiW, W, or alloy of Ti or W etching mask relative to said low-k materials, and the achievable etch rate of the composition remains substantially constant over an extended period of time.

10. The method of claim 9 wherein:

(a) said oxidizing agent is selected from the group consisting essentially of hydrogen peroxide (H2O2), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO3), ammonium chlorite (NH4ClO2), ammonium chlorate (NH4ClO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4ClO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)ClO2), tetramethylammionium chlorate ((N(CH3)4)ClO3), tetramethylammonium iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)ClO4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8), ((CO(NH2)2)H2O2), peracetic acid (CH3(CO)OOH), and mixtures thereof; and
(b) said ammonium carboxylate is selected from the group comprising ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate, and mixtures thereof.

11. The method of claim 10 wherein said removal composition further comprises from 0.0001 wt % up to 20 wt % of a copper corrosion inhibitor.

12. The method of claim 10 wherein said removal composition further comprises from 0.001 wt % to 20 wt % of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting essentially of 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane-N,N,N′,N′-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N′-bis(2-hydroxyphenylacetic acid) (EDDHA) whereby the achievable removal rate for the etching mask remains substantially constant over an extended period of time up to at least thirty five hours.

13. A method for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, or an alloy of Ti or W relative to low-k materials from a semiconductor substrate comprising said low-k materials having an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, or an alloy of Ti or W thereon wherein the method comprises contacting the substrate with a removal composition comprising:

(a) from 0.1 wt % to 90 wt % of hydrogen peroxide;
(b) from 0.0001 wt % to 50 wt % of an ammonium carboxylate selected from the group comprising ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate, and mixtures thereof;
(c) from 0.001 wt % to 20 wt % of an amino acid, an aminopolycarboxylic acid, a carboxylic acid, a polycarboxylic acid, or a mixture thereof selected from the group consisting essentially of 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); and 1,2-bis(o-aminophenoxy)ethane-N,N,N′,N′-tetraacetic acid; N-{2-[bis(carboxymethyl)amino]ethyl}-N-(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N′-bis(2-hydroxyphenylacetic acid) (EDDHA); and
(d) the balance up to 100 wt % of said removal composition comprising deionized water at a temperature in the range of from room temperature up to 80° C. and at a pH in the range of from 3 to 13 for a time wherein said removal composition selectively removes said TiN, TaN, TiNxOy, TiW, W, or alloy of Ti or W etching mask relative to said low-k materials whereby the etching mask is selectively removed and the achievable removal rate for the etching mask remains substantially constant over an extended period of time.
Patent History
Publication number: 20150104952
Type: Application
Filed: Dec 11, 2013
Publication Date: Apr 16, 2015
Applicant: EKC Technology, Inc. (Hayward, CA)
Inventor: Hua Cui (Castro Valley, CA)
Application Number: 14/103,303
Classifications
Current U.S. Class: Liquid Phase Etching (438/745); For Stripping Photoresist Material (510/176)
International Classification: G03F 7/42 (20060101); H01L 21/033 (20060101);