Chamber Seal Patents (Class 118/733)
  • Patent number: 11948778
    Abstract: A conventional substrate processing apparatus for generating plasma cannot generate plasma with high density and thus throughput of substrate processing is low. In order to solve this problem, provided is a substrate processing apparatus including a reaction vessel having a tubular shape and provided with a coil installed at an outer circumference thereof; a cover installed at a first end of the reaction vessel; a gas introduction port installed at the cover; a first plate installed between the gas introduction port and an upper end of the coil; a second plate installed between the first plate and the upper end of the coil; a substrate processing chamber installed at a second end of the reaction vessel; and a gas exhaust part connected to the substrate processing chamber.
    Type: Grant
    Filed: July 14, 2021
    Date of Patent: April 2, 2024
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Hidehiro Yanai, Shin Hiyama, Toru Kakuda, Toshiya Shimada, Tomihiro Amano
  • Patent number: 11869791
    Abstract: The present invention provides a technology capable of inhibiting, in a vacuum processing apparatus that conveys a plurality of substrate holders along a conveying path formed to have a projected shape on a vertical surface, the projected shape being a continuous ring shape, dust from being generated during conveyance of a substrate holder. The present invention includes, in a vacuum chamber 2, an anti-sag member 35 assembled to a first drive unit 36 provided on an outer side with respect to a conveying direction of the conveying path, the vacuum chamber 2 including a conveying path formed to have a projected shape on the vertical surface, the projected shape being a continuous ring shape, a single vacuum atmosphere being formed in the vacuum chamber 2.
    Type: Grant
    Filed: September 2, 2019
    Date of Patent: January 9, 2024
    Assignee: Ulvac, Inc.
    Inventors: Dai Takagi, Yuu Mizushima, Toshiyuki Koizumi
  • Patent number: 11850620
    Abstract: A coater is provided for depositing a coating onto a sequence of samples to be analyzed in a microscope. The coater includes a process chamber to maintain a low-pressure vacuum or controlled gaseous environment at a deposition region inside the process chamber, a sample conveyor to support and convey samples through the deposition region, an evaporant supply to vaporize material from an evaporant source onto the samples at the deposition region, and a controller to control one or more operations of the coater.
    Type: Grant
    Filed: December 3, 2019
    Date of Patent: December 26, 2023
    Assignee: Mochii, Inc.
    Inventor: Christopher Su-Yan Own
  • Patent number: 11764102
    Abstract: Provided is a rotating shaft sealing device. The rotating shaft sealing device mounted in a semiconductor substrate processing apparatus that processes a semiconductor substrate while rotating a semiconductor loading unit accommodating the semiconductor substrate, includes: a housing that is hollow and mounted in the semiconductor substrate processing apparatus; a rotating shaft accommodated in the housing and connected to the semiconductor loading unit to transfer a rotational force to the semiconductor loading unit; a bearing rotatably supporting the rotating shaft in the housing; a sealing unit including a plurality of seals arranged in the housing to tightly seal a gap between the housing and the rotating shaft; and a power transfer unit mounted at an end of the rotating shaft to transfer a rotational force to the rotating shaft.
    Type: Grant
    Filed: December 18, 2020
    Date of Patent: September 19, 2023
    Assignee: SEALINK CORP.
    Inventor: Hee Jang Rhee
  • Patent number: 11752530
    Abstract: A fluid discharging device includes a body member including an entrance configured to receive fluid and a passage line through which the fluid is transferred to a chamber, and a first foreign material removing unit coupled to the body member, and expanding and restoring such that foreign materials accumulated on a surface of the first foreign material removing unit is removed.
    Type: Grant
    Filed: October 17, 2020
    Date of Patent: September 12, 2023
    Assignee: SEMES CO., LTD.
    Inventors: Woo Sin Jung, Sang Eun Noh, Dae Sung Kim
  • Patent number: 11745205
    Abstract: A holder, a transport device, and a method of manufacturing a container are described. The container comprises a body that extends along an axis and a flange that extends radially to the axis, and the holder comprises one or more fastening elements that are configured to engage one or more of a top surface, a bottom surface, or a peripheral surface of the flange.
    Type: Grant
    Filed: August 16, 2021
    Date of Patent: September 5, 2023
    Assignee: Gerresheimer Regensburg GmbH
    Inventors: Florian Reger, Guenther Scheck
  • Patent number: 11592169
    Abstract: The present invention relates to a method for manufacturing a linear LED light source, comprising: providing a tubular glass envelope that is open at its proximal end and its distal end; inserting a light source mount assembly comprising one or more LED units into the tubular glass envelope; forming a distal hermetic seal at the distal end such that a distal opening remains at the distal end; forming a proximal hermetic seal at the proximal end such that a proximal opening remains at the proximal end; filling the tubular glass envelope with a gas filling; and sealing the distal and proximal openings to obtain a sealed lamp envelope; wherein a flow of coolant gas through the tubular glass envelope is maintained during the formation of the proximal hermetic seal and/or distal hermetic seal if the light source mount assembly is inserted before the formation of the respective hermetic seal.
    Type: Grant
    Filed: October 1, 2018
    Date of Patent: February 28, 2023
    Assignee: Flowil International Lighting (Holding) B.V.
    Inventors: James Hooker, Frank Broeders, Walter Schaaf
  • Patent number: 11555237
    Abstract: A deposition system includes a system housing having a housing interior, a fixture transfer assembly having a generally sloped fixture transfer rail extending through the housing interior, a plurality of sequentially ordered deposition chambers connected by the fixture transfer rail, a controller interfacing with the processing chambers and at least one fixture carrier assembly carried by the fixture transfer rail and adapted to contain at least one substrate. The fixture carrier assembly travels along the fixture transfer rail under influence of gravity. A substrate fixture contains a substrate. The substrate fixture comprises a fixture frame. The fixture frame is defined by multiple circular members adjacently joined in a circular arrangement. Each circular member has a fixture frame opening sized to receive the substrate. Lens support arms may integrate into the circular members, extending in a curved disposition into the fixture frame opening to retain the substrate. A deposition method is also disclosed.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: January 17, 2023
    Assignee: QUANTUM INNOVATIONS, INC.
    Inventors: Norman L. Kester, Cliff J. Leidecker, John B. Glarum, Wade E. Nielson, Briant D. Walton
  • Patent number: 11359286
    Abstract: Describe is a quartz crystal microbalance (QCM) device mounted within a heated sample chamber. The sample chamber temperature is maintained about 10° C. to about 30° C. greater than the temperature of the precursor vessel. The sample chamber is connected to the precursor delivery line and includes a high temperature valve and a flow pathway to foreline with a high temperature valve to permit removal of excess material. The QCM device includes a heater and gas cooling channel allowing the device to be maintained at a temperature about 10° C. to about 30° C. less than the temperature of the precursor vessel.
    Type: Grant
    Filed: May 1, 2020
    Date of Patent: June 14, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Jereld Winkler, Mohith Verghese
  • Patent number: 11286562
    Abstract: A gas-phase chemical reactor, a system including the reactor, and methods of using the reactor and system are disclosed. An exemplary reactor includes a reaction chamber and is configured to provide a precursor within the reaction chamber for a soak period—e.g., a period wherein a supply of the precursor to the reaction chamber is ceased and before purging of the reaction chamber begins. This allows relatively high residence times, relatively high partial pressures of the precursor(s) and/or a relatively high absolute pressure to be obtained within the reaction chamber during substrate processing.
    Type: Grant
    Filed: June 8, 2018
    Date of Patent: March 29, 2022
    Assignee: ASM IP Holding B.V.
    Inventor: Antti Juhani Niskanen
  • Patent number: 11171017
    Abstract: Describes are shutter disks comprising one or more of titanium (Ti), barium (Ba), or cerium (Ce) for physical vapor deposition (PVD) that allows pasting to minimize outgassing and control defects during etching of a substrate. The shutter disks incorporate getter materials that are highly selective to reactive gas molecules, including O2, CO, CO2, and water.
    Type: Grant
    Filed: September 3, 2020
    Date of Patent: November 9, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Zhang Kang, Junqi Wei, Yueh Sheng Ow, Kelvin Boh, Yuichi Wada, Ananthkrishna Jupudi, Sarath Babu
  • Patent number: 11124878
    Abstract: A gas supply member includes a first side opposite a second side and an inner surface defining a first opening extending between the first and second sides. The gas supply member includes a third side orthogonal to the first side, the third side includes a first extension that has a face partially defining the second side, and the first extension includes a first plurality of holes extending through the first extension to the face. The gas supply member includes a fourth side opposite the third side, the fourth side includes a protrusion that has a face partially defining the second side. The gas supply member also includes a baffle disposed adjacent to the inner surface, the baffle includes a first portion extending from the inner surface and a second portion attached to the first portion, and the second portion orthogonal to the first portion and parallel to the third side.
    Type: Grant
    Filed: July 30, 2018
    Date of Patent: September 21, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Kartik Shah, Vishwas Kumar Pandey, Kailash Pradhan, Sairaju Tallavarjula, Rene George, Eric Kihara Shono, Philip A. Bottini, Roger Curtis
  • Patent number: 10873058
    Abstract: Disclosed is a roll-to-roll substrate deposition apparatus which may control the width and length of a deposition area so as to adjust the size of a thin film. The roll-to-roll substrate deposition apparatus includes a deposition area controller disposed between a flexible substrate supplied to the inside of a chamber and a deposition unit supplying a deposition material to the flexible substrate so as to adjust the width and length of a thin film formed of the deposition material on one surface of the flexible substrate.
    Type: Grant
    Filed: November 29, 2017
    Date of Patent: December 22, 2020
    Assignee: LG Display Co., Ltd.
    Inventors: Shin-Bok Lee, Tae-Joon Song, Nam-Kook Kim, Soon-Sung Yoo, Hwan-Keon Lee
  • Patent number: 10443741
    Abstract: A blade for vacuum valves is provided with an opening/closing plate having one side surface coming into contact with a moving passage part. A screw coupling part is formed on the other side surface and a first elastic member seating part is formed on the outer circumference of the screw coupling part. A shaft connecting bar is provided on the other surface of the opening/closing plate having a contact protrusion part having a second elastic member seating part and a connecting part formed in the contact protrusion part so that a connecting unit is inserted. The first elastic member is provided between the first elastic member seating part and the second elastic member seating part to guide the elastic connection between the opening/closing plate and the shaft connecting bar.
    Type: Grant
    Filed: April 14, 2016
    Date of Patent: October 15, 2019
    Assignee: PRESYS. CO., LTD
    Inventors: Bae-Jin Kim, Ki Sun Choi, Sang Min Kim, Kang Hyun Kim
  • Patent number: 10090174
    Abstract: A semiconductor processing chamber is provided and may include a wafer transfer passage that extends through a chamber wall and has an inner passage surface defining an opening, an insert including an insert inner surface defining an insert opening, and a gas inlet. A first recessed surface of the wafer transfer passage extending at least partially around and outwardly offset from the inner passage surface, a first insert outer surface extending at least partially around and outwardly offset from the insert inner surface, and a first wall surface extending between the inner passage surface and the first recessed surface, at least partially define a gas distribution channel fluidically connected to the gas inlet, the first recessed surface is separated from the first insert outer surface by a first distance and an insert front surface faces and is separated from the first wall surface by a first gap distance.
    Type: Grant
    Filed: March 1, 2016
    Date of Patent: October 2, 2018
    Assignee: Lam Research Corporation
    Inventors: Panya Wongsenakhum, Peter Krotov
  • Patent number: 9765432
    Abstract: Provided are gas distribution apparatus with a delivery channel having an inlet end, an outlet end and a plurality of apertures spaced along the length. The inlet end is connectable to an inlet gas source and the outlet end is connectible with a vacuum source. Also provided are gas distribution apparatus with spiral delivery channels, intertwined spiral delivery channels, splitting delivery channels, merging delivery channels and shaped delivery channels in which an inlet end and outlet end are configured for rapid exchange of gas within the delivery channels.
    Type: Grant
    Filed: May 12, 2016
    Date of Patent: September 19, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Zhenbin Ge, Chien-Teh Kao, Joel M. Huston, Mei Chang
  • Patent number: 9669552
    Abstract: A system and method are disclosed for substrate handling. The system can include a robot adapter configured to connect to a robot, and first and second end effectors connected to the robot adapter. The robot adapter is configured to move the first and second end effectors from a first, retracted, position to a second, extended, position. In the extended position, the first or second end effector is disposed within a top entry load lock for picking or dropping a plurality of substrates therein. The first and second end effectors can be selectively and independently movable. The robot adapter can be rotatable so as to selectively position one of the end effectors over the top entry load lock. Methods for quickly swapping processed and unprocessed substrates in the top entry load lock are also disclosed and claimed.
    Type: Grant
    Filed: May 20, 2013
    Date of Patent: June 6, 2017
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Robert Brent Vopat, Jason M. Schaller, William Weaver
  • Patent number: 9513053
    Abstract: Methods and apparatuses for drying electronic devices are disclosed. Embodiments include methods and apparatuses that heat and decrease pressure within the electronic device. Some embodiments increase and decrease pressure while adding heat energy, such as by using a heated platen in contact with the electronic device or by supplying a gas (e.g., air), which may be heated, into the interior of the electronic device. Embodiments include heating the gas supplied into the interior of the electronic device with pump used to decrease pressure within the electronic device and/or a separate heater. Still other embodiments include controlling the temperature of the gas supplied into the electronic device. Still further embodiments automatically control, such as by using an electronic processor, some or all aspects of the drying of the electronic device.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: December 6, 2016
    Assignee: Revive Electronics, LLC
    Inventors: Reuben Zielinski, Joel Trusty, Micah Trusty
  • Patent number: 9296560
    Abstract: A storage unit includes carousels each including a plurality of levels and including a plurality of spaces for storing containers on each level, the carousels rotating around a vertical shaft; a loading port for loading and unloading the containers; transport units for transporting the containers between the loading port and the storage spaces; and pipes for feeding clean gas such that feeding is turned on and off for each storage space, the pipes being configured to be connected to an intake port provided for each container.
    Type: Grant
    Filed: May 17, 2012
    Date of Patent: March 29, 2016
    Assignee: MURATA MACHINERY, LTD.
    Inventor: Masazumi Fukushima
  • Patent number: 9224594
    Abstract: Methods and apparatus for processing using a plasma source for the treatment of semiconductor surfaces are disclosed. The apparatus includes an outer vacuum chamber enclosing a substrate support, a plasma source (either a direct plasma or a remote plasma), and an optional showerhead. Other gas distribution and gas dispersal hardware may also be used. The plasma source may be used to generate activated species operable to alter the surface of the semiconductor materials. Further, the plasma source may be used to generate activated species operable to enhance the nucleation of deposition precursors on the semiconductor surface.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: December 29, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Kevin Kashefi, Frank Greer
  • Patent number: 9121515
    Abstract: A substrate processing device includes a depressurizable hot wall chamber having a sidewall with a temperature which becomes higher than room temperature and a first substrate transferring port provided in the sidewall, a depressurizable transfer chamber having a transfer arm mechanism and a second substrate transferring port, and a gate valve unit provided between the hot wall chamber and the transfer chamber. The gate valve unit includes: a housing having a sidewall provided with communicating holes, a first housing substrate transferring port, and a second housing substrate transferring port; a valve body which is elevatable in the housing; and a double sealing structure having a first sealing member and a second sealing member provided at an outer side of the first sealing member. The communicating holes communicate a gap between the first sealing member and the second sealing member with an internal space of the housing.
    Type: Grant
    Filed: March 6, 2012
    Date of Patent: September 1, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kaoru Yamamoto, Masamichi Hara, Tetsuya Miyashita
  • Publication number: 20150069575
    Abstract: A nitride semiconductor growth apparatus of the present invention comprises a chamber into which a reactive gas containing nitrogen is to be introduced as a material gas and a reaction part which is placed in the chamber and in which the material gas is brought into reaction to grow a nitride semiconductor. In the nitride semiconductor growth apparatus, in a region which includes a reaction part and part of an upstream side from a reaction part with respect to a flow of a material gas, portions to be in contact with the material gas (a gas introducing part, a current introducing part and a view port part and the like) are made from non-copper material (i.e., material containing no copper).
    Type: Application
    Filed: February 28, 2013
    Publication date: March 12, 2015
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Nobuaki Teraguchi
  • Publication number: 20150059981
    Abstract: Methods and apparatus for processing substrates are provided herein. In some embodiments, an apparatus for processing substrates includes a chamber body enclosing a processing volume, the chamber body comprising a chamber floor, a chamber wall coupled to the chamber floor, and a chamber lid removably coupled to the chamber wall, wherein at least one of the chamber floor, the chamber wall, and the chamber lid comprise passages for a flow of a thermal control media; a heater plate disposed adjacent to and spaced apart from the chamber floor; a sleeve disposed adjacent to and spaced apart from the chamber wall, the sleeve supported by the heater plate; and a first sealing element disposed at a first interface between the chamber wall and the chamber lid.
    Type: Application
    Filed: July 16, 2014
    Publication date: March 5, 2015
    Inventors: JOEL M. HUSTON, OLKAN CUVALCI, MICHAEL P. KARAZIM, JOSEPH YUDOVSKY
  • Patent number: 8945308
    Abstract: The present invention relates to a cluster tool for processing semiconductor substrates. One embodiment of the present invention provides a mainframe for a cluster tool comprising a transfer chamber having a substrate transferring robot disposed therein. The substrate transferring robot is configured to shuttle substrates among one or more processing chambers directly or indirectly connected to the transfer chamber. The mainframe further comprises a shutter disk shelf configured to store one or more shutter disks to be used by the one or more processing chambers, wherein the shutter disk shelf is accessible to the substrate transferring robot so that the substrate transferring robot can transfer the one or more shutter disks between the shutter disk shelf and the one or more processing chambers directly or indirectly connected to the transfer chamber.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: February 3, 2015
    Assignee: Applied Materials, Inc.
    Inventor: Jason Schaller
  • Patent number: 8926756
    Abstract: A passing apparatus includes: upper and lower sealing rolls dealing with variations in a thickness of a proceeding strip, the upper and lower sealing rolls allowing the strip to pass; and a strip sealing unit dealing with variations in a width of the proceeding strip, the strip sealing unit cooperating with the upper and lower sealing rolls to seal the proceeding strip passing through a chamber in a state in which the strip sealing unit surrounds the proceeding strip.
    Type: Grant
    Filed: December 24, 2010
    Date of Patent: January 6, 2015
    Assignee: Posco
    Inventors: Dong-Yoeul Lee, Mun-Jong Eom, Kyoung-Bo Kim, Yong-Hwa Jung, Woo-Sung Jung, Young-Jin Kwak, Tae-Yeob Kim, Kyung-Hoon Nam, Sang-Cheol Lee, Sang-Hoon Park, Yang-Woo Nam
  • Publication number: 20140373785
    Abstract: Systems are provided that include one or more retractable deposition source assemblies that eliminate the need for a bellows, but do not require breaking the ultra-high vacuum of a growth module for source replacement or recharging with deposition material. Systems of the present invention may include source heads that allow for a differential pumping option that provides marked improvement in base pressure around the source head (and material) that provides longer lifetimes for sources in corrosive, reactive or oxidizing environments. In addition, systems of the invention do not require an entire growth module to be vented to refill or repair an effusion source. Instead, for maintenance events that are tied to a specific source, a retractable source assembly of the present invention allows the sources to be withdrawn from the system, isolated from the growth environment, and removed without venting the entire chamber of the growth module.
    Type: Application
    Filed: June 25, 2014
    Publication date: December 25, 2014
    Inventors: Eric Daniel Readinger, Rikki Scott LaBere, Richard Charles Bresnahan, Scott Wayne Priddy
  • Publication number: 20140366808
    Abstract: A gas processing apparatus includes a process chamber for installing a process target therein, a through-hole being air-tightly in communication with a gas supply pipe, an injector for supplying gas into the process chamber, a sleeve being engaged to an outer peripheral surface of the injector inside the through-hole, an annular sealing member engaged to the outer peripheral surface of the injector, an engagement surface facing the sealing member, and a pressing part for pressing the sleeve toward the outer side of the process chamber. The pressing part compresses the sealing member by exerting pressure to the engagement surface from an end surface of the sleeve toward the sealing member, so that an inside of the injector and an outside of the injector are air-tightly sealed.
    Type: Application
    Filed: June 12, 2014
    Publication date: December 18, 2014
    Inventor: Manabu HONMA
  • Patent number: 8900368
    Abstract: The invention relates to a device and a method for continuous chemical vapor deposition under atmospheric pressure on substrates. The device is hereby based on a reaction chamber, along the open sides of which the substrates are guided, as a result of which the corresponding coatings can be effected on the side of the substrates which is orientated towards the chamber interior.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: December 2, 2014
    Assignee: Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung E.V.
    Inventors: Stefan Reber, Albert Hurrle, Norbert Schillinger
  • Publication number: 20140335287
    Abstract: Provided is an atomic layer deposition apparatus including: a sealable deposition chamber; a holding portion configured to hold a substrate including a deposition surface in the deposition chamber; a supply mechanism that includes an introduction portion connected to a gas supply source that supplies gas and is configured to supply gas introduced into the introduction portion to the deposition chamber from a position opposing the deposition surface; and an exhaust mechanism that includes an exhaust portion connected to an exhaust mechanism capable of exhausting gas and is configured to exhaust the deposition chamber from a position opposing the deposition surface.
    Type: Application
    Filed: April 29, 2014
    Publication date: November 13, 2014
    Applicant: Sony Corporation
    Inventors: Hiroyuki NAGAI, Tetsuro KUWAYAMA
  • Publication number: 20140322897
    Abstract: Embodiments of the present disclosure provide a liner assembly including a plurality of individually separated gas passages. The liner assembly enables tenability of flow parameters, such as velocity, density, direction and spatial location, across a substrate being processed. The processing gas across the substrate being processed may be specially tailored for individual processes with a liner assembly according to embodiment of the present disclosure.
    Type: Application
    Filed: April 23, 2014
    Publication date: October 30, 2014
    Inventors: Mehmet Tugrul SAMIR, Shu-Kwan LAU
  • Publication number: 20140311408
    Abstract: The various embodiments of the invention provide for relative movement of the substrate and a process head to access the entire wafer in a minimal space to conduct combinatorial processing on various regions of the substrate. The heads enable site isolated processing within the chamber described and method of using the same are described.
    Type: Application
    Filed: July 1, 2014
    Publication date: October 23, 2014
    Inventors: Indranil De, Rick Endo, James Tsung, Kurt Weiner, Maosheng Zhao
  • Publication number: 20140311411
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus includes a chamber body having an opened upper side, the chamber body providing an inner space in which processes with respect to a substrate are performed, a chamber lid disposed on an upper portion of the chamber body to close the opened upper side of the chamber body, and a showerhead disposed on a lower portion of the chamber lid to supply a reaction gas into the inner space. The showerhead includes a flange contacting the chamber lid, the flange having a passage recessed from a top surface of the flange to allow a refrigerant to flow therein, and a flat plate disposed inside the flange, the flat plate having at least one injection hole for injecting the reaction gas in a thickness direction thereof.
    Type: Application
    Filed: November 23, 2012
    Publication date: October 23, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Byoung-Gyu Song, Yong-Ki Kim, kyong-Hun Kim, Yang-Sik Shin
  • Patent number: 8834673
    Abstract: A process chamber is provided for an etching apparatus that etches a substrate, such as a liquid crystal display (LCD) substrate, using plasma. The process chamber may include a chamber body, in one wall of which a gate slit is formed, a rotary inner door that opens and closes an inner opening of the gate slit, and a door driving mechanism that rotates the inner door. When the substrate is etched, the inner door is closed preventing an interior of the chamber body from communicating with the gate slit. Thereby, a space in which the plasma is formed may be maintained symmetrical, so that the plasma may be uniformly distributed in an interior of the chamber body.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: September 16, 2014
    Assignee: ADP Engineering Co., Ltd.
    Inventor: Hyoung Kyu Son
  • Patent number: 8833298
    Abstract: A film forming apparatus includes a substrate holding unit holding substrates at intervals; a reaction chamber accommodating the substrate holding unit; a raw material gas supply pipe supplying a raw material gas of a thin film to the substrate; a support unit supporting the reaction chamber; a heating unit being disposed outside the reaction chamber and heating the substrates; a protection pipe including one end portion fixed to the support unit, being extended along an arrangement direction of the substrates between the substrate holding unit and the reaction chamber, and including a temperature measuring unit inserted therein; and a protrusion portion being provided on at least one of an outer surface of the protection pipe and an inner surface of the reaction chamber, and providing a gap between the outer surface of the protection pipe and the inner surface of the reaction chamber.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: September 16, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Izumi Sato, Isao Shiratani, Satoshi Asari, Tsuyoshi Murakami
  • Patent number: 8826853
    Abstract: An apparatus (1) for PECVD deposition of a thin layer of a barrier-effect material in a receptacle (3), the apparatus comprising: a structure (5) receiving the receptacle (3), said structure (5) defining a plasma-presence zone (18), said structure (5) being provided with an orifice (14) defining an axis (A1) and presenting an inside opening (15) opening out into the plasma-presence zone (18), and an outside opening (16) opening out outside said zone (18); an electromagnetic wave generator; and an optical plasma monitor device (19) including a pick-up (21) placed outside the plasma-presence zone (18) on the axis (A1) of said orifice.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: September 9, 2014
    Assignee: Sidel Participations
    Inventors: Jean-Michel Rius, Guy Feuilloley
  • Patent number: 8821638
    Abstract: Provided is a continuous deposition apparatus wherein replacement operations of a feeding unit and a take-up unit are easily performed. The continuous deposition apparatus is provided with: a vacuum chamber (1); a deposition roller (2); evaporation sources (7L1, 7L2, 7R) which supply a deposition material to a film substrate from the side of the film substrate which is wound on the deposition roller and on which a coating is to be deposited; a feeding unit (3) which supplies the film substrate to the deposition roller (2); and a take-up unit (4) which takes up the film substrate after the coating is deposited thereon.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: September 2, 2014
    Assignee: Kobe Steel, Ltd.
    Inventors: Hiroshi Tamagaki, Toshiki Segawa
  • Patent number: 8821642
    Abstract: Disclosed herein is a flat panel display (FPD) manufacturing apparatus for performing a desired process for a substrate positioned in a chamber after establishing a vacuum atmosphere in the chamber. The vacuum chamber is divided into a chamber body and an upper cover to ensure easy opening/closing operations of the upper cover.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: September 2, 2014
    Assignee: Advanced Display Process Engineering Co., Ltd.
    Inventors: Young Jong Lee, Jun Young Choi, Saeng Hyun Jo, Hyun Hwan Ahn, Suk-Min Son, Sung Il Ahn
  • Patent number: 8808457
    Abstract: A tool for depositing multilayer coatings onto a substrate. The tool includes a housing defining a vacuum chamber connected to a vacuum source, deposition stations each configured to deposit a layer of multilayer coating on the substrate, a curing station, and a contamination reduction device. At least one of the deposition stations is configured to deposit an inorganic layer, while at least one other deposition station is configured to deposit an organic layer. In one tool configuration, the substrate may travel back and forth through the tool as many times as needed to achieve the desired number of layers of multilayer coating. In another, the tool may include numerous housings adjacently spaced such that the substrate may make a single unidirectional pass. The contamination reduction device may be configured as one or more migration control chambers about at least one of the deposition stations, and further includes cooling devices, such as chillers, to reduce the presence of vaporous layer precursors.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: August 19, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: John Chris Pagano, Kenneth Jeffrey Nelson, Paul E. Burrows, Mark Edward Gross, Mac R. Zumhoff, Peter Maclyn Martin, Charles C. Bonham, Gordon Lee Graff, Lorenza Moro, Xi Chu
  • Patent number: 8807914
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: August 19, 2014
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Publication number: 20140225232
    Abstract: Atomic layer deposition (ALD) techniques typically involve briefly exposing the surface of a substrate to a precursor within an atomic layer deposition chamber, and purging the chamber with a purge gas, such as nitrogen, before exposing the substrate to a second precursor. A series of such cycles results in the deposition of microscopically thin film layers on the substrate surface that are further processed to generate a semiconductor component. In order to reduce unintended oxygen deposition, the chamber is typically evacuated to a vacuum level of 10e?06 torr-liters/second, which is suitable for the related techniques of chemical vapor deposition. However, atomic layer deposition is demonstrably more sensitive to oxygen contamination, due to the exposure of each layer to residual oxygen within the chamber. Tighter process control is achievable by performing atomic layer deposition at a higher vacuum level, not exceeding approximately 10e?06 torr-liters/second, in order to reduce oxygen contamination.
    Type: Application
    Filed: February 8, 2013
    Publication date: August 14, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Su-Horng Lin, Kuang-Kuo Koai
  • Patent number: 8801950
    Abstract: A substrate processing chamber includes a lift actuator that moves a pedestal between a substrate loading position and a substrate processing position. An adjustable seal defines an expandable sealed volume between a bottom surface of the pedestal and a bottom surface of the substrate processing chamber and is moveable between the substrate loading position and the substrate processing position. When the pedestal is in the substrate processing position, the pedestal and the adjustable seal define a first inert volume and a first process volume. When the pedestal is in the substrate loading position, the pedestal and the adjustable seal define a second inert volume and a second process volume. The second inert volume is less than the first inert volume and the second process volume is greater than the first process volume.
    Type: Grant
    Filed: February 23, 2012
    Date of Patent: August 12, 2014
    Assignee: Novellus Systems, Inc.
    Inventor: James F. Lee
  • Patent number: 8801858
    Abstract: An apparatus and associated method for vapor deposition of a sublimated source material as a thin film on a photovoltaic (PV) module substrate includes a deposition head wherein a source material is sublimated. A distribution manifold is provided with a plurality of passages defined therethrough for passage of the sublimated source material to the substrate. A shutter plate is disposed above the distribution manifold and includes a plurality of passages therethrough that align with the passages in the distribution manifold in a first position of the shutter plate. The shutter plate is movable to a second position wherein the shutter plate blocks the passages in the distribution manifold to flow of sublimated material therethrough. A lifting mechanism is configured between the shutter plate and the distribution manifold to lift and move the shutter plate between the first and second positions without sliding the shutter plate on the distribution manifold.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: August 12, 2014
    Assignee: First Solar, Inc.
    Inventors: Christopher Rathweg, Edwin Jackson Little
  • Patent number: 8801895
    Abstract: A semiconductor manufacturing equipment includes a first chamber that has a first connection hole, a second chamber that has a second connection hole connected to the first connection hole of the first chamber, an O-ring that is provided between the first chamber and the second chamber so as to surround the first connection hole and the second connection hole, and a cover portion that covers a space between the first chamber and the second chamber.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: August 12, 2014
    Assignee: Spansion, LLC
    Inventor: Hirotaka Inomata
  • Patent number: 8794896
    Abstract: A vacuum processing apparatus includes a vacuum processing chamber; a load lock chamber connected to the vacuum processing chamber via a gate valve or via a gate valve and a depressurized space and also connected to an atmospheric space via a door valve, an interior atmosphere of the load lock chamber being changed between a substantially atmospheric state and a depressurized state; an air blowing portion, provided at a vicinity of the door valve in the atmospheric space, for blowing a zonal airflow vertically downward from a position substantially even with or higher than a top end of a passageway of the door valve; and an air suctioning portion for suctioning the airflow or the inert gas from the air blowing portion by a vacuum force at a position substantially even with or lower than a bottom end of the passageway of the door valve.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: August 5, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Kengo Ashizawa
  • Patent number: 8778083
    Abstract: A deposition apparatus according to an exemplary embodiment of the present invention is a lateral-flow deposition apparatus in which in which a process gas flows between a surface where a substrate is disposed and the opposite surface, substantially in parallel with the substrate. The lateral-flow deposition apparatus includes: a substrate support that moves up/down and rotates the substrate while supporting the substrate; a reactor cover that defines a reaction chamber by contacting the substrate support; and a substrate support lifter and a substrate support rotator that move the substrate support.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: July 15, 2014
    Assignee: ASM Genitech Korea Ltd.
    Inventors: Ki Jong Kim, Yong Min Yoo, Jung Soo Kim, Hyung Sang Park, Seung Woo Choi, Jeong Ho Lee, Dong Rak Jung
  • Patent number: 8758516
    Abstract: Disclosed herein is a flat panel display (FPD) manufacturing apparatus for performing a desired process for a substrate positioned in a chamber after establishing a vacuum atmosphere in the chamber. The vacuum chamber is divided into a chamber body and an upper cover to ensure easy opening/closing operations of the upper cover.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: June 24, 2014
    Assignee: Advanced Display Process Engineering Co., Ltd.
    Inventors: Young Jong Lee, Jun Young Choi, Saeng Hyun Jo, Hyun Hwan Ahn, Suk-Min Son, Sung Il Ahn
  • Patent number: 8741096
    Abstract: An apparatus for semiconductor processing capable of performing semiconductor processing such as etching, depositing, etc. on a surface of a substrate such as a wafer. The apparatus for semiconductor processing, comprises: a reaction chamber having a gate through which a substrate to be processed is transferred; one or more shower heads disposed at an upper side of the reaction chamber, for spraying gas so as to perform semiconductor processing; one or more wafer supporting units disposed at an inner lower side of the reaction chamber in correspondence to each of the shower heads, for supporting the substrate; a processing space forming unit disposed in the reaction chamber, for forming a processing space for semiconductor processing by sealing the shower heads and the wafer supporting units; and an exhausting system connected to the processing space forming unit for controlling a pressure and air exhaustion inside the reaction chamber and the processing space formed by the processing space forming unit.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: June 3, 2014
    Assignee: Wonik IPS Co., Ltd.
    Inventors: Sang-Jun Park, Ho-Young Lee, Chun-Woo Lee
  • Patent number: 8709159
    Abstract: A vertical heat treatment apparatus enabling the insertion of a temperature sensor in the reaction tube without disassembling the apparatus is disclosed. The vertical heat treatment apparatus includes a reaction tube; a heating section; a wafer holding section; a supporting section movably provided in the vertical direction so as to seal the reaction tube while the wafer holding section is in the reaction tube; a temperature sensor insertion section provided in the supporting section and having a through hole for guiding a temperature sensor so that the temperature sensor can be inserted into the reaction tube; and a cap section for opening and closing the through hole of the temperature sensor insertion section while the wafer holding section is on the supporting section.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: April 29, 2014
    Assignee: Ricoh Company, Ltd.
    Inventor: Nobuyuki Okamura
  • Publication number: 20140102368
    Abstract: A gas isolation chamber comprises a vacuum chamber, a first body module, a second body module and a first temperature modulator. The vacuum chamber comprises a first chamber part, a second chamber part and at least one first gas valve unit. The first body module is disposed on the inner wall of the first chamber part and has a first gas hole corresponding to the position of the first gas valve unit. The first gas hole is connected to the first gas valve unit. The second body module is disposed on the inner wall of the second chamber part such that a slit channel can be formed between the second and the first body modules. The first temperature modulator is disposed in the first body module. The gas isolation chamber is further combined with the vacuum film process chambers to form a plasma deposition apparatus for proceeding continuous deposition process.
    Type: Application
    Filed: May 31, 2013
    Publication date: April 17, 2014
    Inventors: CHENG-CHANG HSIEH, DENG-LAIN LIN, CHING-PEI TSENG, JIN-YU WU, JIUN-SHEN CHEN, CHI-FONG AI
  • Publication number: 20140076236
    Abstract: A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
    Type: Application
    Filed: November 22, 2013
    Publication date: March 20, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Ramprakash SANKARAKRISHNAN, Dale R. DU BOIS, Ganesh BALASUBRAMANIAN, Karthik JANAKIRAMAN, Juan Carlos ROCHA-ALVAREZ, Thomas NOWAK, Visweswaren SIVARAMAKRISHNAN, Hichem M'SAAD