For Temperature Detection Or Control Patents (Class 156/345.27)
  • Publication number: 20120258606
    Abstract: A semiconductor substrate processing system includes a processing chamber and a substrate support defined to support a substrate in the processing chamber. The system also includes a plasma chamber defined separate from the processing chamber. The plasma chamber is defined to generate a plasma. The system also includes a plurality of fluid transmission pathways fluidly connecting the plasma chamber to the processing chamber. The plurality of fluid transmission pathways are defined to supply reactive constituents of the plasma from the plasma chamber to the processing chamber. The system further includes an electron injection device for injecting electrons into the processing chamber to control an electron energy distribution within the processing chamber so as to in turn control an ion-to-radical density ratio within the processing chamber. In one embodiment, an electron beam source is defined to transmit an electron beam through the processing chamber above and across the substrate support.
    Type: Application
    Filed: January 24, 2012
    Publication date: October 11, 2012
    Applicant: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek, Harmeet Singh, Jun Shinagawa, Akira Koshiishi
  • Publication number: 20120248067
    Abstract: Provided is a parallel flat-panel type plasma processing apparatus which includes a recipe storing unit storing a processing recipe for performing a plasma processing, a compensation setting unit setting an accumulation time of the plasma processing or the number of processed substrates after starting using a new second electrode and the compensation value of the set temperature of the second electrode in an input screen, and a storage unit storing the compensated set value. The plasma processing apparatus is further equipped with a program for controlling a temperature adjusting mechanism based on a set temperature after compensation by adding a set temperature of an upper electrode written in the processing recipe to the compensation value stored within the storage unit. As a result, the non-uniformity in the plasma processing between the substrates caused by the change of processing atmosphere is suppressed.
    Type: Application
    Filed: March 29, 2012
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tatsuya Ogi, Wataru Ozawa, Kimihiro Fukasawa, Kazuhiro Kanaya
  • Publication number: 20120247669
    Abstract: Provided a measuring apparatus includes a wavelength dispersion device which dispersed light reflected by one surface of an examination target having a thickness D and light reflected by a rear surface of the examination target, as incident light, a detector in which a plurality of photodetection elements receiving light dispersed by the wavelength dispersion device and detecting a power of the received light in are provided in an array shape, and a piezoelectric device which is attached to the detector to convert an applied voltage into a mechanical power, wherein the detector detects the power of the received light when the detector is shifted by the mechanical power converted by the piezoelectric device as much as d/m, where d is a width of each of the photodetection elements in an array direction and m is an integer equal to or greater than 2.
    Type: Application
    Filed: March 30, 2012
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tatsuo MATSUDO, Hidetoshi KIMURA
  • Publication number: 20120243573
    Abstract: A plasma processing apparatus and a temperature measuring method that may measure a temperature of an object in a processing chamber by a low-coherence interferometer without forming a hole in a holding stage or an upper electrode of the plasma processing apparatus, thereby performing a plasma process of a substrate with high precision and uniformity. The plasma processing apparatus is implemented by disposing a light source collimator outside of a light source window, disposing a light-receiving collimator outside of a light-receiving window, allowing a measurement light emitted from the light source collimator to pass through the light source window to be obliquely emitted to a surface of the object to be measured, and allowing the reflected measurement light to pass through the light-receiving window to be incident on the light-receiving collimator. The temperature of the object in the processing chamber may be measured by the low-coherence interferometer.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 27, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tatsuo MATSUDO
  • Publication number: 20120241089
    Abstract: An apparatus and method for one or more externally mounted temperature sensors in a substrate support utilized in a chemical vapor deposition (CVD) chamber is provided. In one embodiment, a substrate support for a vacuum chamber is provided. The substrate support comprises a body having a substrate receiving surface and an opposing bottom surface, a support stem coupled to and extending away from the bottom surface, one or more thermal control devices embedded within the body, at least one temperature sensor interfaced with the bottom surface of the body, and a removable hermitic enclosure fastened to the second side of the body and covering the at least one temperature sensor.
    Type: Application
    Filed: January 27, 2012
    Publication date: September 27, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Joerg Dielmann, Reiner Ruediger
  • Publication number: 20120238040
    Abstract: Disclosed is a technology that can obtain high in-plane uniformity of etching while etching a substrate using plasma. A proper temperature of a focus ring capable of performing etching having high in-plane uniformity is identified in advance for each of the multilayers formed on a wafer, the temperature is reflected to a processing recipe as a set temperature, and a heating mechanism and a cooling mechanism are controlled such that the temperature of the focus ring is within an appropriate temperature range including the set temperature thereof for each of the layers to be successively etched. Heat of the focus ring is radiated using a laser and is discharged to a supporting table without using a heater, to independently separate the heating mechanism and the cooling mechanism from each other.
    Type: Application
    Filed: March 16, 2012
    Publication date: September 20, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuhiro KUBOTA, Yusuke SAITO, Masanobu HONDA
  • Publication number: 20120227955
    Abstract: Provided are a substrate temperature control method and a plasma processing apparatus using the method. The method includes: disposing a substrate on a placing table provided in a vacuum processing chamber; supplying a heat conduction gas between a rear surface of the substrate and the placing table; detecting a pressure of the heat conduction gas; comparing the detected pressure value with a set pressure value; controlling the supply of the heat conduction gas so that the detected pressure value becomes the set pressure value; and alternately repeating a first period where the set pressure value is set to be a first set pressure value that is higher than a low pressure value and equal to or higher than the lowest limit pressure value and a second period where the set pressure value is set to be a second set pressure value that is lower than the low pressure value.
    Type: Application
    Filed: March 8, 2012
    Publication date: September 13, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Chishio KOSHIMIZU
  • Patent number: 8262798
    Abstract: The present invention herein provides a shower head whose temperature can be controlled in consideration of the film-forming conditions selected and a thin film-manufacturing device which permits the stable and continuous formation of thin films including only a trace amount of particles while reproducing a good film thickness distribution and compositional distribution, and a high film-forming rate and which is excellent in the productivity and the mass-producing ability as well as a method for the preparation of such a film.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: September 11, 2012
    Assignee: ULVAC, Inc.
    Inventors: Takakazu Yamada, Takeshi Masuda, Masahiko Kajinuma, Yutaka Nishioka, Masaki Uematsu, Koukou Suu
  • Publication number: 20120196445
    Abstract: An aspect of the invention is to provide a method and apparatus for etching the silicon oxide layer of a semiconductor substrate, whereby the processing time for cleaning or rinsing, as well as any undesired aftereffects by residual hydrofluoric acid, may be reduced, in using the dry etching method involving the use of dense carbon dioxide that contains hydrofluoric acid, during the manufacturing process of a micro-electronic device.
    Type: Application
    Filed: February 10, 2011
    Publication date: August 2, 2012
    Applicant: Pukyong National University
    Inventor: Kwon-Taek LIM
  • Publication number: 20120186745
    Abstract: Provided is a plasma processing apparatus in which accuracy or reliability of processing is improved. This plasma processing apparatus includes a sample stage in a processing chamber arranged in a vacuum vessel and in which plasma is generated. The sample stage has a cylindrical shape and operates as an evaporator through which a refrigerant of a refrigerating cycle flows. Further, the apparatus includes refrigerant passages which are concentrically arranged inside of the sample stage, one or more detectors which detect vibrations of the sample stage, and an control unit which controls a temperature of the refrigerant flowing into the sample stage based on detection results of a dryness of the refrigerant flowing through the passages obtained from an output of the detectors.
    Type: Application
    Filed: February 25, 2011
    Publication date: July 26, 2012
    Inventors: Go MIYA, Masaru Izawa, Takumi Tandou
  • Patent number: 8221580
    Abstract: A plasma reactor with a reactor chamber and an electrostatic chuck having a surface for holding a workpiece inside the chamber includes a backside gas pressure source coupled to the electrostatic chuck for applying a thermally conductive gas under a selected pressure into a workpiece-surface interface formed whenever a workpiece is held on the surface, and an evaporator inside the electrostatic chuck and a refrigeration loop having an expansion valve for controlling flow of coolant through the evaporator. The reactor further includes a temperature sensor in the electrostatic chuck, a thermal model capable of simulating heat transfer between the evaporator and the surface based upon measurements from the temperature sensor and an agile control processor coupled to the thermal model and governing the backside gas pressure source in response to predictions from the model of changes in the selected pressure that would bring the temperature measured by the sensor closer to a desired temperature.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: July 17, 2012
    Assignees: Applied Materials, Inc., BE Aerospace, Inc.
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Patent number: 8216486
    Abstract: A temperature control module for a semiconductor processing chamber comprises a thermally conductive component body, one or more channels in the component body and one or more tubes concentric therewith, such that gas filled spaces surround the tubes. By flowing a heat transfer liquid in the tubes and adjusting the gas pressure in the spaces, localized temperature of the component body can be precisely controlled. One or more heating elements can be arranged in each zone and a heat transfer liquid can be passed through the tubes to effect heating or cooling of each zone by activating the heating elements and/or varying pressure of the gas in the spaces.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: July 10, 2012
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Henry Povolny, Jerry K. Antolik
  • Patent number: 8206550
    Abstract: A system for manufacturing a semiconductor device that has a gate electrode and a pair of diffusion layers formed in a semiconductor substrate on sides of the gate electrode, the system including structure for forming an insulating film and a gate electrode on a semiconductor substrate, obtaining a thickness of an affected layer formed in a surface of the semiconductor substrate, forming a pair of diffusion layers by injecting an impurity element into the semiconductor substrate in areas flanking the gate electrodes based on a predetermined injection parameter, performing activating heat treatment based on a predetermined heat treatment parameter, and deriving the injection parameter or heat treatment parameter in response to the obtained thickness of the affected layer such that the diffusion layers are set to a predetermined sheet resistance.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: June 26, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Hikaru Kokura
  • Publication number: 20120156887
    Abstract: A vacuum processing apparatus, comprising: a processing chamber 3 in which an object to be processed is placed and a predetermined vacuum state is formed; a first processing gas introducing means 12 for converting a first processing gas into a radical state and introducing the resulting first processing gas in the radical state into the processing chamber through first processing gas introducing ports which open to the interior of the processing chamber; a second processing gas introducing means 15 for introducing a second processing gas, which is reactive with the first processing gas in the radical state, into the processing chamber through second processing gas introducing ports which open to the interior of the processing chamber; a temperature controlling means for controlling the temperature within the processing chamber 3 to a first temperature-controlled state, in which the first processing gas in the radical state and the second processing gas process the surface of the object to be processed, thereb
    Type: Application
    Filed: August 24, 2010
    Publication date: June 21, 2012
    Inventors: Youhei Ono, Masaaki Kawana, Yutaka Miura
  • Publication number: 20120103523
    Abstract: The present disclosure provides a plasma processing apparatus capable of improving uniformity of a process on a substrate surface. The plasma processing apparatus performs a process on a substrate accommodated in a processing chamber by generating inductively coupled plasma in the processing chamber. The plasma processing apparatus includes a processing chamber main body having a top opening and formed in a container shape; an upper lid, configured to cover the top opening, having a ceiling plate formed by alternately and concentrically arranging annular dielectric members and metal members, all having different diameters, and by airtightly sealing gaps between the dielectric members and the metal members; gas introduction units provided at the metal members, for supplying a processing gas into the processing chamber; and a high frequency coil provided on an upper portion of the dielectric members and provided at the outside of the processing chamber.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 3, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hachishiro Iizuka
  • Patent number: 8157951
    Abstract: A plasma reactor for processing a workpiece includes a reactor chamber, an electrostatic chuck within the chamber having a top surface for supporting a workpiece and having indentations in the top surface that form enclosed gas flow channels whenever covered by a workpiece resting on the top surface. The reactor further includes thermal control apparatus thermally coupled to the electrostatic chuck, an RF plasma bias power generator coupled to apply RF power to the electrostatic chuck, a pressurized gas supply of a thermally conductive gas, a controllable gas valve coupling the pressurized gas supply to the indentations to facilitate filling the channels with the thermally conductive gas for heat transfer between a backside of a workpiece and the electrostatic chuck at a heat transfer rate that is a function of the pressure against the backside of the workpiece of the thermally conductive gas.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: April 17, 2012
    Assignees: Applied Materials, Inc., Advanced Thermal Sciences Corporation
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Publication number: 20120085495
    Abstract: Provided herein are etching, cleaning and drying methods using a supercritical fluid, and a chamber system for conducting the same. The etching method includes etching the material layer using a supercritical carbon dioxide in which an etching chemical is dissolved, and removing an etching by-product created from a reaction between the material layer and the etching chemical using a supercritical carbon dioxide in which a cleaning chemical is dissolved. Methods of manufacturing a semiconductor device are also provided.
    Type: Application
    Filed: December 15, 2011
    Publication date: April 12, 2012
    Inventors: Hyo-San Lee, Chang-Ki Hong, Kun-Tack Lee, Woo-Gwan Shim, Jeong-Nam Han, Jung-Min Oh, Kwon-Tack Lim, Ha-Soo Hwang, Haldori Vuvaraj, Jae-Monk Jung
  • Publication number: 20120073751
    Abstract: Provided is a substrate processing apparatus comprising: a processing chamber for processing a substrate; a material supply unit for supplying a Si material, an oxidation material and a catalyst into the processing chamber; a heating unit for heating the substrate; and a controller for controlling at least the material supply unit and the heating unit, wherein the controller controls the heating unit such that heating temperature of the substrate becomes a processing temperature lower than a deformation temperature of a first photoresist constituting a first photoresist pattern, and the controller controls the material supply unit to repeat an alternate supply of the Si material and the catalyst, and the oxidation material and the catalyst into the processing chamber a plurality of times.
    Type: Application
    Filed: December 6, 2011
    Publication date: March 29, 2012
    Inventors: Norikazu MIZUNO, Kenji Kanayama, Kazuyuki Okuda, Yoshiro Hirose, Masayuki Asai
  • Publication number: 20120073672
    Abstract: A system for and method of delivering pulses of a desired mass of gas to a tool is described.
    Type: Application
    Filed: September 29, 2010
    Publication date: March 29, 2012
    Inventor: Junhua Ding
  • Patent number: 8137467
    Abstract: A temperature controlled showerhead for chemical vapor deposition (CVD) chambers enhances heat dissipation to enable accurate temperature control with an electric heater. Heat dissipates by conduction through a showerhead stem and fluid passageway and radiation from a back plate. A temperature control system includes one or more temperature controlled showerheads in a CVD chamber with fluid passageways serially connected to a heat exchanger.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: March 20, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Henner Meinhold, Dan M. Doble, Stephen Lau, Vince Wilson, Easwar Srinivasan
  • Publication number: 20120061350
    Abstract: A time-dependent substrate temperature to be applied during a plasma process is determined. The time-dependent substrate temperature at any given time is determined based on control of a sticking coefficient of a plasma constituent at the given time. A time-dependent temperature differential between an upper plasma boundary and a substrate to be applied during the plasma process is also determined. The time-dependent temperature differential at any given time is determined based on control of a flux of the plasma constituent directed toward the substrate at the given time. The time-dependent substrate temperature and time-dependent temperature differential are stored in a digital format suitable for use by a temperature control device defined and connected to direct temperature control of the upper plasma boundary and the substrate. A system is also provided for implementing upper plasma boundary and substrate temperature control during the plasma process.
    Type: Application
    Filed: September 15, 2010
    Publication date: March 15, 2012
    Applicant: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 8128751
    Abstract: A film-forming apparatus of the invention is a film-forming apparatus that includes: a processing container that defines a chamber, a pedestal arranged in the chamber, on which a substrate to be processed can be placed, a showerhead provided opposite to the pedestal, which has a large number of gas-discharging holes, a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead, and a showerhead-temperature controlling unit that controls a temperature of the showerhead.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: March 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kasai, Takashi Kakegawa
  • Publication number: 20120052690
    Abstract: Methods and systems for temperature enhanced chucking and dechucking of resistive substrates in a plasma processing apparatus are described herein. In certain embodiments, methods and systems incorporate modulating a glass carrier substrate temperature during a plasma etch process to chuck and dechuck the carrier at first temperatures elevated relative to second temperatures utilized during plasma etching. In embodiments, one or more of plasma heat, lamp heat, resistive heat, and fluid heat transfer are controlled to modulate the carrier substrate temperature between chucking temperatures and process temperatures with each run of the plasma etch process.
    Type: Application
    Filed: April 5, 2011
    Publication date: March 1, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Sergey G. BELOSTOTSKIY, Michael G. CHAFIN, Jingbao LIU, David PALAGASHVILI
  • Publication number: 20120048467
    Abstract: Methods and systems for controlling temperatures in plasma processing chamber for a wide range of setpoint temperatures and reduced energy consumption. Temperature control is coordinated between a coolant liquid loop and a heat source by a control algorithm implemented by the plasma processing module controller. The control algorithm may completely stop the flow of coolant liquid to a temperature-controlled component in response to a feedback signal indicating an actual temperature is below the setpoint temperature. The control algorithm may further be based at least in part on a feedforward control signal derived from a plasma power or change in plasma power input into the processing chamber during process recipe execution.
    Type: Application
    Filed: March 3, 2011
    Publication date: March 1, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Chetan Mahadeswaraswamy, Kartik Ramaswamy, Bryan Liao, Sergio Shoji, Duy D. Nguyen, Hamid Noorbakhsh, David Palagashvili
  • Patent number: 8114244
    Abstract: The invention provides a method for performing mass production processing of etching a sample capable of maintaining a stable processing profile.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: February 14, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kousa Hirota, Yasuhiro Nishimori, Hiroshige Uchida
  • Patent number: 8110045
    Abstract: Processing equipment for an object to be processed is provided with a process container, the internal of which can be evacuated, a gas introducing means for introducing a prescribed gas into the process container, a supporting table provided in the process container, a ring-shaped supporting part provided on the supporting table, a mounting plate for mounting the object to be processed and supported by the supporting part, a plurality of thermoelectric conversion elements provided on an upper plane of the supporting table on an inner side of the supporting part, an element storing space evacuating means for evacuating inside the element storing space formed by a lower surface of the mounting plate, which is supported by the supporting part, an upper plane of the supporting table and the supporting part.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: February 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masatake Yoneda, Shigeru Kasai, Masahiro Shimizu
  • Patent number: 8110044
    Abstract: A first flow passage (16), which cools a temperature controlled object by a circulating first cooling water (15), and a second flow passage (19) separate from the first flow passage are provided so as to exchange heat between a second cooling water (18) flowing through the second flow passage (19) and the first cooling water (15). There is no need to store the first cooling water (15) in a tank of a constant capacity, and the first cooling water (15) flowing through the first flow passage (16) of a chiller corresponding part is absorbed substantially in its entirety by the second cooling water (18). A response becomes quick with respect to a load fluctuation of the temperature controlled object, and waste of energy can be reduced while improving accuracy of temperature control.
    Type: Grant
    Filed: March 5, 2004
    Date of Patent: February 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Koji Kotani
  • Publication number: 20120012556
    Abstract: A plasma etching apparatus 11 includes a mounting table that holds a semiconductor substrate W thereon; a first heater 18a that heats a central region of the semiconductor substrate W held on the mounting table 14; a second heater 18b that heats an edge region around the central region of the semiconductor substrate W held on the mounting table 14; a reactant gas supply unit 13 that supplies a reactant gas for a plasma process toward the central region of the semiconductor substrate W held on the mounting table 14; and a control unit 20 that performs a plasma etching process on the semiconductor substrate W while controlling the first heater 18a and the second heater 18b to heat the central region and the edge region of the processing target substrate W held on the mounting table 14 to different temperatures.
    Type: Application
    Filed: February 26, 2009
    Publication date: January 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki Matsumoto, Kazuto Takai, Reika Ko, Nobuyuki Okayama
  • Patent number: 8092639
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck with a surface for holding a workpiece inside the chamber includes a backside gas pressure source coupled to the electrostatic chuck for applying a thermally conductive gas under a selected pressure into a workpiece-surface interface formed whenever a workpiece is held on the surface and an evaporator inside the electrostatic chuck and a refrigeration loop having an expansion valve for controlling flow of coolant through the evaporator. The reactor further includes a temperature sensor in the electrostatic chuck and a memory storing a schedule of changes in RF power or wafer temperature.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: January 10, 2012
    Assignee: Advanced Thermal Sciences Corporation
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Patent number: 8092638
    Abstract: A plasma reactor for processing a workpiece includes a reactor chamber, an electrostatic chuck within the chamber for supporting a workpiece, an RF plasma bias power generator coupled to apply RF power to the electrostatic chuck and a refrigeration loop having an evaporator inside the electrostatic chuck with a refrigerant inlet and a refrigerant outlet. Preferably, the evaporator includes a meandering passageway distributed in a plane beneath a top surface of the electrostatic chuck. Preferably, refrigerant within the evaporator is apportioned between a vapor phase and a liquid phase. As a result, heat transfer between the electrostatic chuck and the refrigerant within the evaporator is a constant-temperature process. This feature improves uniformity of temperature distribution across a diameter of the electrostatic chuck.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: January 10, 2012
    Assignees: Applied Materials Inc., Advanced Thermal Sciences Corporation
    Inventors: Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas A. Buchberger, Jr., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Patent number: 8092637
    Abstract: A manufacturing method includes steps of: placing a film composed of dielectric, on the top surface of a sample stage, forming a film-like heater on the film made of the dielectric, supplying power to the heater to detect a temperature distribution, adjusting a resistance value of the heater on the basis of a result of detection of a temperature distribution so that the temperature distribution has a predetermined value, and then forming the film composed of the dielectric, on the heater.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: January 10, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yutaka Kouzuma, Yutaka Ohmoto, Mamoru Yakushiji, Ken Yoshioka, Tsunehiko Tsubone
  • Publication number: 20110315318
    Abstract: There is provided a focus ring capable of preventing a part of a heat transfer sheet from adhering to and remaining on a mounting table. The focus ring is arranged to surround a periphery of a substrate mounted on the mounting table having a temperature control device. Further, the focus ring includes a flexible heat transfer sheet. Furthermore, the focus ring is in contact with the mounting table via the heat transfer sheet, and the heat transfer sheet has a contact surface in contact with the mounting table and an anti-adhesion layer formed on the contact surface.
    Type: Application
    Filed: June 22, 2011
    Publication date: December 29, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshiyuki Kobayashi, Jun Watanabe, Takuya Okada, Tomoyuki Nara
  • Patent number: 8075729
    Abstract: A pedestal assembly and method for controlling temperature of a substrate during processing is provided. In one embodiment, the pedestal assembly includes an electrostatic chuck coupled to a metallic base. The electrostatic chuck includes at least one chucking electrode and metallic base includes at least two fluidly isolated conduit loops disposed therein. In another embodiment, the pedestal assembly includes a support member that is coupled to a base by a material layer. The material layer has at least two regions having different coefficients of thermal conductivity. In another embodiment, the support member is an electrostatic chuck. In further embodiments, a pedestal assembly has channels formed between the base and support member for providing cooling gas in proximity to the material layer to further control heat transfer between the support member and the base, thereby controlling the temperature profile of a substrate disposed on the support member.
    Type: Grant
    Filed: October 7, 2005
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: John Holland, Theodoros Panagopoulos
  • Patent number: 8070880
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus includes a reaction tube, a substrate holder, a gas nozzle, a heating unit, a temperature detector, and an exhaust unit. The reaction tube accommodates and processes substrates. The substrate holder holds substrates stacked at predetermined intervals in the reaction tube. The gas nozzle is installed along a stacked direction of the substrates. The heating unit heats the substrates. The temperature detector is installed along the stacked direction of the substrates. The exhaust unit exhausts an inside atmosphere of the reaction tube. Each of the gas nozzle and the temperature detector includes first and second parts and is supported by a narrow tube supporting member including first and second supporting parts. The first supporting part makes contact with the first part. The second supporting part is parallel with the second part and supports the second part.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: December 6, 2011
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Tetsuya Marubayashi, Yasuhiro Inokuchi
  • Publication number: 20110294234
    Abstract: Methods and devices for etching a device precursor are provided. For example, a method includes: providing a substrate, determining a temperature associated with the substrate, and etching a metal oxide layer of the substrate, wherein the etching is controlled based on the determined temperature.
    Type: Application
    Filed: June 7, 2010
    Publication date: December 1, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Niels KUHR, Ursula SCHMIDT
  • Patent number: 8043466
    Abstract: An etching apparatus is provided for etching a substrate. The etching apparatus includes a first tank including a first etchant, and an etch bath connected to the first tank and receiving the first etchant, the etch bath containing a residual etchant including a diluted etchant and residue material after the substrate is etched with the first etchant. The etching apparatus further includes a second tank receiving the residual etchant from the etch bath and separating the diluted etchant from the residue material, a connecting passage connecting the first and second tanks for transferring the separated diluted etchant from the second tank to the first tank, and an outlet pipe attached to the second tank for discharging the residue material.
    Type: Grant
    Filed: March 16, 1998
    Date of Patent: October 25, 2011
    Assignee: LG Display Co., Ltd
    Inventors: Woo-Sup Shin, Jae-Gyu Jeong
  • Patent number: 8038796
    Abstract: An apparatus for control of a temperature of a substrate has a temperature-controlled base, a heater, a metal plate, a layer of dielectric material. The heater is thermally coupled to an underside of the metal plate while being electrically insulated from the metal plate. A first layer of adhesive material bonds the metal plate and the heater to the top surface of the temperature controlled base. This adhesive layer is mechanically flexible, and possesses physical properties designed to balance the thermal energy of the heaters and an external process to provide a desired temperature pattern on the surface of the apparatus. A second layer of adhesive material bonds the layer of dielectric material to a top surface of the metal plate. This second adhesive layer possesses physical properties designed to transfer the desired temperature pattern to the surface of the apparatus. The layer of dielectric material forms an electrostatic clamping mechanism and supports the substrate.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: October 18, 2011
    Assignee: Lam Research Corporation
    Inventors: Anthony J. Ricci, Keith Comendant, James Tappan
  • Patent number: 8034181
    Abstract: A plasma processing apparatus includes a processing chamber encased in a vacuum vessel equipped with an evacuator; a sample stage located in the processing chamber and having an upper surface on which a sample as an object to be processed rests; a gas feeding unit for feeding processing gas into the processing chamber; a plurality of refrigerant ducts which are laid out in the internal of the sample stage and through which liquid refrigerant flows and can be evaporated; a cooling circuit including a compressor, a condenser, an expansion valve and a set of pipelines to connect the compressor, the condenser and the expansion valve in this order; and a selecting unit for selectively feeding the refrigerant through the plural refrigerant ducts in the different steps of the processing. The sample is processed by using plasma while the temperature of the sample stage is being controlled by the cooling circuit.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: October 11, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takumi Tandou, Ken'etsu Yokogawa, Masaru Izawa
  • Patent number: 8034180
    Abstract: A method of controlling the temperature of a workpiece on a workpiece support in a plasma reactor includes placing coolant in a flow channel thermally coupled to the workpiece support, supporting a thermally conductive gas between the workpiece and the workpiece support to establish a backside gas pressure, providing sensors to measure the temperature of the workpiece support and the workpiece, and determining whether the rate of change in workpiece temperature is less or more than a rate limited by a thermal mass of the workpiece support. If the rate is less or equal, the thermal conditions of the coolant in the flow channel are changed to reduce a difference between the measured workpiece support temperature and a target workpiece support temperature. If the rate is more, the pressure of the thermally conductive gas is changed to reduce a difference between the measured workpiece temperature and a target workpiece temperature.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: October 11, 2011
    Assignees: Applied Materials, Inc., Advanced Thermal Sciences Corporation
    Inventors: Paul Lukas Brillhart, Richard Fovell, Douglas A. Buchberger, Jr., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Publication number: 20110240598
    Abstract: A plasma processing apparatus 11 includes a reactant gas supply unit 13 for supplying a reactant gas for a plasma process into a processing chamber 12. The reactant gas supply unit 13 includes a first reactant gas supply unit 61 provided at a center of a dielectric plate 16 and configured to supply the reactant gas in a directly downward direction toward a central region of a processing target substrate W held on a holding table 14; and a second reactant gas supply unit 62 provided at a position directly above the holding table 14 but not directly above the processing target substrate W held on the holding table 14 and configured to supply the reactant gas toward a center of the processing target substrate W held on the holding table 14.
    Type: Application
    Filed: August 25, 2009
    Publication date: October 6, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuyuki Okayama, Naoki Matsumoto
  • Publication number: 20110240600
    Abstract: A processing gas is introduced to remove an oxide film on the surface of a silicon substrate 5. F radicals are allowed to act on the surface of the silicon substrate to etch a silicon layer. Then, NH3 gas, N2 gas and NF3 gas are introduced, allowing NHxFy to act on the oxidized surface of the silicon substrate 5, thereby forming (NH4)2SiF6. The resulting (NH4)2SiF6 is sublimated to remove by-products (SiOF, SiOH) on the surface of the silicon substrate 5.
    Type: Application
    Filed: March 24, 2010
    Publication date: October 6, 2011
    Applicant: ULVAC ,INC.
    Inventors: Yoshiyasu Tajima, Seiichi Takahashi, Kyuzo Nakamura
  • Publication number: 20110232677
    Abstract: A method and system for treating a substrate and, in particular, a method and system for cleaning a low dielectric constant (low-k) dielectric film to remove, among other things, undesired residue is described. The method includes irradiating a region on a substrate containing one or more layers or structures with infrared (IR) radiation and optionally ultraviolet (UV) radiation to remove material or undesired residues from the one or more layers or structures. Furthermore, the method may optionally include exposing at least a portion of the region to a gas or vapor jet emanating from a gas nozzle along a jet axis in a direction towards the substrate.
    Type: Application
    Filed: March 25, 2011
    Publication date: September 29, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Junjun LIU, Dorel I. TOMA, Hongyu YUE
  • Publication number: 20110226418
    Abstract: In a dry cleaning process, breakage of a gas supply pipe can be prevented, and maintenance efficiency can be increased. There is provided a substrate processing apparatus comprising: a process chamber configured to process a substrate; a heater configured to heat an inside of the process chamber; a gas supply pipe installed in the process chamber; a gas supply system configured to supply at least a cleaning gas to the gas supply pipe to introduce the cleaning gas into the process chamber; and a control unit configured to control the heater and gas supply system with the substrate unloaded from the process chamber to perform heating an inside of the process chamber to generate a crack in a thin film formed inside the process chamber; decreasing an inside temperature of the process chamber after the crack is generated in the thin film; and introducing the cleaning gas into the process chamber by supplying the cleaning gas to the gas supply pipe after the inside temperature of the process chamber is decreased.
    Type: Application
    Filed: June 1, 2011
    Publication date: September 22, 2011
    Inventors: Kiyohisa ISHIBASHI, Yasuhiro Inokuchi, Atsushi Moriya, Yoshiaki Hashiba
  • Patent number: 8020398
    Abstract: The fluid delivery mechanism of the present disclosure provides a solution for use in a single axis of motion that allows the connection of one or more fluid flow paths over a wide range of temperatures into a vacuum environment. The mechanism does not employ flexible tubing that is prone to fatigue, especially at very low temperatures. In one embodiment, a tube is axially moved within a sealed piston to allow for fluid delivery. In a second embodiment, bellows are used to provide the required functionality. In another embodiment, it is possible to achieve movement in two or more axis of motion by utilizing two or more appropriately configured mechanisms.
    Type: Grant
    Filed: October 2, 2008
    Date of Patent: September 20, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Roger B. Fish, Robert J. Mitchell
  • Publication number: 20110220288
    Abstract: There is provided a temperature control system configured to control a temperature of a temperature control target member of a processing chamber for performing a plasma process on a substrate therein. The temperature control system includes a heating unit configured to heat the temperature control target member; a cooling unit configured to cool the temperature control target member by circulating a liquid coolant; and a flow rate control unit configured to control a flow rate of the coolant into the temperature control target member by the cooling unit to a first flow rate when plasma is generated within the processing chamber and to a second flow rate lower than the first flow rate when plasma is not generated within the processing chamber.
    Type: Application
    Filed: March 8, 2011
    Publication date: September 15, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Atsushi Kobayashi, Hideki Wakai
  • Patent number: 8012304
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck having a surface for holding a workpiece inside the chamber includes inner and outer zone backside gas pressure sources coupled to the electrostatic chuck for applying a thermally conductive gas under respective pressures to respective inner and outer zones of a workpiece-surface interface formed whenever a workpiece is held on the surface, and inner and outer zone heat exchangers coupled to respective inner and outer zones of said electrostatic chuck. The reactor further includes inner and outer zone temperature sensors in inner and outer zones of the electrostatic chuck and a thermal model capable of simulating heat transfer through the inner and outer zones, respectively, between the evaporator and the surface based upon measurements from the inner and outer temperature sensors, respectively.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: September 6, 2011
    Assignees: Applied Materials, Inc., Advanced Thermal Sciences Corporation
    Inventors: Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas A. Buchberger, Jr., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Publication number: 20110207245
    Abstract: A stage onto which is electrostatically attracted a substrate to be processed in a substrate processing apparatus, which enables the semiconductor device yield to be improved. A temperature measuring apparatus 200 measures a temperature of the substrate to be processed. A temperature control unit 400 carries out temperature adjustment on the substrate to be processed such as to become equal to a target temperature based on a preset parameter. A temperature control unit 400 controls the temperature of the substrate to be processed by controlling the temperature adjustment by the temperature control unit 400 based on a measured temperature measured by the temperature measuring apparatus 200.
    Type: Application
    Filed: April 29, 2011
    Publication date: August 25, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Chishio KOSHIMIZU, Tomohiro Suzuki
  • Publication number: 20110207243
    Abstract: There is provided a means for uniformly controlling the in-plane temperature of a semiconductor wafer at high speed in a high heat input etching process. A refrigerant channel structure in a circular shape is formed in a sample stage. Due to a fact that a heat transfer coefficient of a refrigerant is largely changed from a refrigerant supply port to a refrigerant outlet port, the cross sections of the channel structure is structured so as to be increased from a first channel areas towards a second channel areas in order to make the heat transfer coefficient of the refrigerant constant in the refrigerant channel structure. Thereby, the heat transfer coefficient of the refrigerant is prevented from increasing by reducing the flow rate of the refrigerant at a dry degree area where the heat transfer coefficient of the refrigerant is increased.
    Type: Application
    Filed: April 21, 2011
    Publication date: August 25, 2011
    Inventors: Takumi TANDOU, Kenetsu Yokogawa, Masaru Izawa
  • Patent number: 8002463
    Abstract: The publication discloses a method for determining a temperature of a substrate, comprising: providing a gas channel that is confined by at least one wall having a certain wall temperature; providing a substrate in said gas channel, proximate to the at least one wall, such that a gap exists between a surface of the substrate and the at least one wall; providing a gas flow with a certain mass flow rate through said gas channel, which gas flow extends at least partially through said gap; determining a pressure drop in the gas flow along the gas channel; and deriving from said pressure drop the temperature of said substrate using a pre-determined relation between the pressure drop along the gas channel, the wall temperature and the temperature of the substrate, at said mass flow rate. Also disclosed is a device for implementing the disclosed method.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: August 23, 2011
    Assignee: ASM International N.V.
    Inventors: Ernst H. A. Granneman, Pascal Vermont, Vladimir Kuznetsov
  • Publication number: 20110186545
    Abstract: Methods and systems for controlling temperatures in plasma processing chamber with reduced controller response times and increased stability. Temperature control is based at least in part on a feedforward control signal derived from a plasma power input into the processing chamber. A feedforward control signal compensating disturbances in the temperature attributable to the plasma power may be combined with a feedback control signal counteracting error between a measured and desired temperature.
    Type: Application
    Filed: October 15, 2010
    Publication date: August 4, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Chetan MAHADESWARASWAMY, Walter R. MERRY, Sergio Fukuda SHOJI, Chunlei ZHANG, Yashaswini B. PATTAR, Duy D. NGUYEN, Tina TSONG, Shane C. NEVIL, Douglas A. BUCHBERGER, JR., Fernando M. SILVEIRA, Brad L. MAYS, Kartik RAMASWAMY, Hamid NOORBAKHSH