Parallel Plate Electrodes Patents (Class 156/345.47)
  • Patent number: 11908665
    Abstract: A disclosed plasma processing apparatus includes a chamber, a substrate support, an electric path, and a measuring device. The substrate support is accommodated in the chamber. The electric path is coupled to or capacitively coupled to an edge ring on the substrate support. The measuring device measures an electrical characteristic value of the edge ring with a voltage applied to the edge ring on the substrate support through the electric path. The electrical characteristic value measured by the measuring device is variable in accordance with a thickness of the edge ring.
    Type: Grant
    Filed: November 20, 2020
    Date of Patent: February 20, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Manabu Iwata
  • Patent number: 11887822
    Abstract: An edge ring includes a ramp surface of which a height decreases from an outer edge-side portion toward an inner edge-side portion. The edge ring is configured to satisfy the relation of T2/T1>T4/T3. Where, T1 is a thickness of the edge ring, before plasma treatment, at a first position on the ramp surface of the inner edge-side portion, and T2 is a thickness of the edge ring, before plasma treatment, at a second position on the ramp surface of the outer edge-side portion. T3 is a thickness of the edge ring, after plasma treatment, at the first position, and T4 is a thickness of the edge ring, after plasma treatment, at the second position.
    Type: Grant
    Filed: July 6, 2021
    Date of Patent: January 30, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Toshifumi Ishida, Yusuke Saitoh
  • Patent number: 11869753
    Abstract: A plasma processing apparatus includes a processing chamber; a placing table disposed in the processing chamber to place a substrate thereon; an upper electrode facing the placing table; a member configured to adjust a temperature of the upper electrode; a first sensor provided within the member configured to adjust the temperature of the upper electrode, and configured to measure the temperature of the upper electrode; and a first sheet member, disposed between the upper electrode and the first sensor, having a relative dielectric constant of 2.4 or higher at a frequency of 1 MHz.
    Type: Grant
    Filed: October 15, 2021
    Date of Patent: January 9, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takahiro Senda, Yuzo Uemura, Yusei Kuwabara, Tomoya Ujiie
  • Patent number: 11854768
    Abstract: The present disclosure is directed to an in situ closed-loop radio frequency (RF) power management on RF processes such as a plasma etch process, a plasma chemical vapor deposition process, a plasma physical vapor deposition process, a plasma clean process, or the like. An RF power measurement device according to one or more embodiments of the present disclosure assists the in situ closed-loop RF power management on RF processes. In some embodiments, the RF power measurement device includes a coil-shaped current sensor that is wound around the path between an RF generator and a chamber. The coil-shaped current sensor senses the current flowing through this path so that the power of the RF generator may be calibrated without having to separate the RF generator for separate analysis and calibration. The RF power measurement device allows management of RF power in an in situ closed-loop manner.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: December 26, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei Ting Liu, Wen-Wei Fan
  • Patent number: 11834744
    Abstract: Exemplary semiconductor processing chamber showerheads may include a dielectric plate characterized by a first surface and a second surface opposite the first surface. The dielectric plate may define a plurality of apertures through the dielectric plate. The dielectric plate may define a first annular channel in the first surface of the dielectric plate, and the first annular channel may extend about the plurality of apertures. The dielectric plate may define a second annular channel in the first surface of the dielectric plate. The second annular channel may be formed radially outward from the first annular channel. The showerheads may also include a conductive material embedded within the dielectric plate and extending about the plurality of apertures without being exposed by the apertures. The conductive material may be exposed at the second annular channel.
    Type: Grant
    Filed: February 23, 2023
    Date of Patent: December 5, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Laksheswar Kalita, Soonam Park, Dmitry Lubomirsky, Tien Fak Tan, LokKee Loh, Saravjeet Singh, Tae Won Kim
  • Patent number: 11810769
    Abstract: A substrate processing apparatus includes a conductive enclosure having a gas passage, a conductive member having a gas passage, and a piping assembly including a hollow tube having an inner sidewall, a core block disposed in the hollow tube, the core block having an outer sidewall fitting the inner sidewall of the hollow tube, the core block having a first dielectric constant, and at least one dielectric member disposed in at least one of the hollow tube and the core block, the dielectric member having a second dielectric constant higher than the first dielectric constant.
    Type: Grant
    Filed: November 25, 2020
    Date of Patent: November 7, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Kazuki Oshima
  • Patent number: 11802341
    Abstract: A capacitively coupled Plasma Enhanced Chemical Vapour Deposition (PE-CVD) apparatus has a chamber, a first electrode with a substrate support positioned in the chamber, a second electrode with a gas inlet structure positioned in the chamber, and an RF power source connected to the gas inlet structure for supplying RF power thereto. The gas inlet structure has an edge region, a central region which depends downwardly with respect to the edge region, and one or more precursor gas inlets for introducing a PE-CVD precursor gas mixture to the chamber. The edge region and the central region both constitute part of the second electrode. The precursor gas inlets are disposed in the edge region and the central region is spaced apart from the substrate support to define a plasma dark space channel.
    Type: Grant
    Filed: January 8, 2021
    Date of Patent: October 31, 2023
    Assignee: SPTS Technologies Limited
    Inventors: Stephen Burgess, Kathrine Crook, Daniel Archard, William Royle, Euan Alasdair Morrison
  • Patent number: 11786745
    Abstract: Disclosed is a plasma treatment apparatus that includes a cover attached to a body part, a plasma generation unit that generates plasma and provides the plasma to the cover, a gas supply unit that supplies a source gas for generating the plasma to the plasma generation unit, and an exhaust unit that exhausts an exhaust gas from the cover.
    Type: Grant
    Filed: August 2, 2016
    Date of Patent: October 17, 2023
    Assignee: FEAGLE CO., LTD
    Inventors: Hyunyoung Lee, Jeonghae Choi, Yeonsuk Song
  • Patent number: 11791137
    Abstract: A bevel etching apparatus includes a chuck plate that is configured to receive a substrate, a lower ring surrounding a circumference of the chuck plate, a cover plate on the chuck plate, and an upper ring surrounding a circumference of the cover plate. The lower ring includes a ring base and a protrusion that extends upwardly from an edge of the ring base and surrounds a lower portion of a sidewall of the substrate.
    Type: Grant
    Filed: April 22, 2020
    Date of Patent: October 17, 2023
    Inventors: Hakseung Lee, Ho-Jin Lee, Dong-Chan Lim, Jinnam Kim, Kwangjin Moon
  • Patent number: 11756808
    Abstract: A plasma processing apparatus includes an insertion member having a first surface facing a vacuum space, a second surface facing a non-vacuum space, and an insertion hole penetrating through the first and second surfaces. A pin is inserted into the insertion hole and moved vertically. A movable member is provided in a recess formed on a wall surface of the insertion hole facing the pin. The movable member has an opening into which the pin is inserted and is movable along a surface of the recess. A first sealing member is provided between the movable member and the pin. A second sealing member is provided between the movable body and the surface of the recess and allows, when a pressing force of the pin that locally compresses the first sealing member acts on the first sealing member, the movable member to move in a direction to release the pressing force.
    Type: Grant
    Filed: July 28, 2021
    Date of Patent: September 12, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Takehiro Ueda
  • Patent number: 11725279
    Abstract: A deposition or cleaning apparatus including an outer vacuum chamber and a reaction chamber inside the outer chamber forming a double chamber structure.
    Type: Grant
    Filed: February 8, 2017
    Date of Patent: August 15, 2023
    Assignee: Picosun Oy
    Inventor: Timo Malinen
  • Patent number: 11715630
    Abstract: A plasma processing apparatus is for performing plasma processing in a depressurizable inner space. The apparatus includes a chamber having therein an inner space, a supporting table provided in the inner space and configured to support a substrate to be mounted thereon, one or more first members included in the chamber or separate from the chamber and partially exposed to a depressurized environment including the inner space, and one or more second members included in the chamber or separate from the chamber, each being in contact with a corresponding one of said one or more first members, and partially disposed in an atmospheric pressure environment. The apparatus further includes one or more feeders each of which is configured to supply a coolant to a cavity formed in a corresponding one of said one or more second members.
    Type: Grant
    Filed: May 10, 2019
    Date of Patent: August 1, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yusuke Hayasaka, Shuhei Yamabe, Naoki Tamaru, Keisuke Yoshimura, Kyo Tsuboi
  • Patent number: 11705312
    Abstract: The disclosure describes a plasma source assemblies comprising a differential screw assembly, an RF hot electrode, a top cover, an upper housing and a lower housing. The differential screw assembly is configured to provide force to align the plasma source assembly vertically matching planarity of a susceptor. More particularly, the differential screw assembly increases a distance between the top cover and the upper housing to align the gap with the susceptor. The disclosure also provides a better thermal management by cooling fins. A temperature capacity of the plasma source assemblies is extended by using titanium electrode. The disclosure provides a cladding material covering a portion of a first surface of RF hot electrode, a second surface of RF hot electrode, a bottom surface of RF hot electrode, a portion of a surface of the showerhead and a portion of lower housing surface.
    Type: Grant
    Filed: December 26, 2020
    Date of Patent: July 18, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Tsutomu Tanaka, Jared Ahmad Lee, Rakesh Ramadas, Dmitry A. Dzilno, Gregory J. Wilson, Sriharish Srinivasan
  • Patent number: 11655541
    Abstract: Polycrystalline silicon is produced in a chemical vapour deposition reactor, wherein, outside the reactor at at least one position on at least one reactor component, vibrations of the reactor are measured using a measurement device and optionally recorded. The vibrations may be used to identify rod fall over and other events occurring within the reactor.
    Type: Grant
    Filed: December 17, 2018
    Date of Patent: May 23, 2023
    Assignee: WACKER CHEMIE AG
    Inventor: Markus Wenzeis
  • Patent number: 11583816
    Abstract: Apparatus and methods for providing high velocity gas flow showerheads for deposition chambers are described. The showerhead has a faceplate in contact with a backing plate that has a concave portion to provide a plenum between the backing plate and the faceplate. A plurality of thermal elements is within the concave portion of the backing plate and extends to contact the faceplate.
    Type: Grant
    Filed: September 3, 2021
    Date of Patent: February 21, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jared Ahmad Lee, Sanjeev Baluja, Joseph AuBuchon, Dhritiman Subha Kashyap, Michael Rice
  • Patent number: 11581204
    Abstract: A semiconductor device manufacturing system is provided. In one embodiment, a load lock chamber of the semiconductor device manufacturing system comprises an internal cavity, a substrate carrier, configured to support and deliver a substrate and a cooling gas inlet module arranged in the internal cavity and adjacent to a first side of the internal cavity. The cooling gas inlet module is configured to discharge a gas toward a second side of the internal cavity to cool down the substrate supported and delivered by the substrate carrier, wherein the second side. The second side is opposite to the first side.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: February 14, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventor: Fung-Chih Huang
  • Patent number: 11555247
    Abstract: A coating apparatus and movable electrode arrangement, movable support arrangement, and application thereof are disclosed. The coating apparatus includes a reactor chamber body and a movable support arrangement. The reactor chamber body has a reactor chamber. The movable support arrangement is received in the reactor chamber and includes one or more electrodes and a movable support. The movable support is adapted for rotating relative to the reactor chamber body. At least one of the electrodes is arranged on the movable support so as for rotating together with the movable support. One or more workpieces to be coated are adapted for being held on the movable support to move together with the movable support.
    Type: Grant
    Filed: January 4, 2020
    Date of Patent: January 17, 2023
    Assignee: JIANGSU FAVORED NANOTECHNOLOGY CO., LTD.
    Inventor: Jian Zong
  • Patent number: 11550224
    Abstract: Embodiments described herein relate to methods and apparatus for performing immersion field guided post exposure bake processes. Embodiments of apparatus described herein include a chamber body defining a processing volume. Electrodes may be disposed adjacent the process volume and process fluid is provided to the process volume via a plurality of fluid conduits to facilitate immersion field guided post exposure bake processes. A post process chamber for rinsing, developing, and drying a substrate is also provided.
    Type: Grant
    Filed: August 24, 2020
    Date of Patent: January 10, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Kyle M. Hanson, Gregory J. Wilson, Viachslav Babayan
  • Patent number: 11450512
    Abstract: A plasma processing method according to an embodiment is performed in a state in which a substrate is placed on a support stage in an internal space of a chamber body. In the plasma processing method, a plasma treatment is performed on the substrate. Subsequently, a phase of a voltage of a lower electrode is relatively adjusted with respect to a phase of a voltage of an upper electrode by a phase adjustment circuit, such that a thickness of a sheath between the support stage and plasma without extinguishing the plasma generated in order to perform the plasma treatment. Thereafter, in a state in which supply of a high-frequency power is stopped, gases and particles in the internal space of the chamber body are discharged using an exhaust device.
    Type: Grant
    Filed: September 26, 2018
    Date of Patent: September 20, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shinya Iwashita, Takamichi Kikuchi, Naotaka Noro, Toshio Hasegawa, Tsuyoshi Moriya
  • Patent number: 11450545
    Abstract: According to some embodiments, a semiconductor substrate processing apparatus includes a housing, a plasma source unit, an electrostatic chuck, and a ring unit. The housing encloses a process chamber. The plasma source unit is connected to the housing, and includes a shower head and a fixing ring positioned to support the shower head. The shower head includes an upper electrode mounted on the fixing ring, and includes injection holes passing through part of the upper electrode and configured to inject gas into the chamber. The electrostatic chuck is connected to the housing and includes a lower electrode, and is for mounting a semiconductor substrate thereon. The ring unit is mounted on an edge portion of the electrostatic chuck, and includes a focus ring and a cover ring surrounding the focus ring. One of the lower electrode and the upper electrode is connected to a high frequency power supply, and the other of the lower electrode and the upper electrode is connected to ground.
    Type: Grant
    Filed: November 14, 2019
    Date of Patent: September 20, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jongwoo Sun, Incheol Song, Hongmin Yoon, Jihyun Lim, Masayuki Tomoyasu, Jewoo Han
  • Patent number: 11443921
    Abstract: The present disclosure provides an apparatus including a chamber body and a lid defining a volume therein. The apparatus includes a substrate support disposed in the volume opposite the lid. The substrate support includes a support body disposed on a stem, and a ground plate disposed between the support body and the stem. A top flange is coupled to a lower peripheral surface the ground plate and a bottom flange is coupled to a bottom of the chamber body. The bottom flange and the top flange is coupled to one another with a plurality of straps, each of the straps having a first end coupled to the bottom flange and a second end coupled to the top flange.
    Type: Grant
    Filed: June 11, 2020
    Date of Patent: September 13, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Gaosheng Fu, Tuan Anh Nguyen, Amit Kumar Bansal
  • Patent number: 11437222
    Abstract: A plasma processing apparatus includes a process chamber having an inner space, an electrostatic chuck in the process chamber and to which a substrate is mounted, a gas injection unit to inject a process gas into the process chamber at a side of the process chamber, a plasma applying unit to transform the process gas injected into the process chamber into plasma, and a plasma adjusting unit disposed around the electrostatic chuck and operative to adjust the density of the plasma across the substrate.
    Type: Grant
    Filed: August 26, 2020
    Date of Patent: September 6, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Pyo Hong, Jong-Woo Sun, Jung-Mo Sung
  • Patent number: 11401605
    Abstract: A substrate processing apparatus capable of preventing deflection of exhaust flow which may occur when an asymmetric exhaust structure is introduced includes: an exhaust unit providing an exhaust space surrounding a reaction space; an exhaust port connected to the exhaust unit; and a flow control unit disposed in the exhaust space, wherein the exhaust port is arranged asymmetrically with respect to the reaction space, and the flow control unit may include: an upper flow control plate including a plurality of first through holes; and a lower flow control plate disposed below the upper flow control plate and including a plurality of second through holes.
    Type: Grant
    Filed: November 22, 2020
    Date of Patent: August 2, 2022
    Assignee: ASM IP Holding B.V.
    Inventors: JaeMin Roh, JuIll Lee
  • Patent number: 11348766
    Abstract: A substrate processing apparatus includes a chamber, a susceptor provided in the chamber, a shower plate having a plate part provided with a plurality of through holes and formed of a conductor, a ring-shaped part connected to an outer edge of the plate part, surrounding the plate part and formed of a conductor and a lead wire embedded in the ring-shaped part and surrounding the plate part and the susceptor in plan view, the shower plate being provided so as to face the susceptor in the chamber, and a DC power supply that supplies a direct current to the lead wire.
    Type: Grant
    Filed: March 9, 2020
    Date of Patent: May 31, 2022
    Assignee: ASM IP Holding B.V.
    Inventor: Yoshio Susa
  • Patent number: 11315767
    Abstract: A plasma processing apparatus configured to perform plasma processing on a conductive workpiece having a flat plate shape includes: a conductive vacuum chamber having a recessed portion which is configured to cause a processing object portion of at least one side of the workpiece having a flat plate shape to be disposed in the recessed portion and a peripheral edge portion which is provided outside the recessed portion to be continuous with the recessed portion; a holding member configured to hold the workpiece to be separated and insulated from the peripheral edge portion; a voltage application unit configured to apply a voltage between the workpiece and the vacuum chamber; and an insulating layer configured to cover a portion of the peripheral edge portion facing the workpiece.
    Type: Grant
    Filed: August 8, 2018
    Date of Patent: April 26, 2022
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventor: Noriyuki Kato
  • Patent number: 11282676
    Abstract: Processing chambers with a plurality of processing stations and individual wafer support surfaces are described. The processing stations and wafer support surfaces are arranged so that there is an equal number of processing stations and heaters. An RF generator is connected to a first electrode in a first station and a second electrode in a second station. A bottom RF path is formed by a connection between the a first support surface and a second support surface.
    Type: Grant
    Filed: June 18, 2019
    Date of Patent: March 22, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Hari Ponnekanti, Tsutomu Tanaka, Mandyam Sriram, Dmitry A. Dzilno, Sanjeev Baluja, Mario D. Silvetti
  • Patent number: 11222769
    Abstract: A plasma reactor includes a chamber body having an interior space that provides a plasma chamber, a gas distribution port to deliver a processing gas to the plasma chamber, a workpiece support to hold a workpiece, an antenna array comprising a plurality of monopole antennas extending partially into the plasma chamber, and an AC power source to supply a first AC power to the plurality of monopole antennas. The plurality of monopole antennas can extend through a first gas distribution plate. A grid filter can be positioned between the workpiece support and the plurality of monopole antennas.
    Type: Grant
    Filed: December 29, 2017
    Date of Patent: January 11, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Qiwei Liang, Srinivas D. Nemani
  • Patent number: 11211267
    Abstract: According to one embodiment, a substrate processing apparatus includes a table configured to place a substrate thereon and to connect the substrate to a positive electrode, an counter electrode located opposite to the table, having a plurality of holes, and connected to a negative electrode, and a holding unit located opposite to the table across the counter electrode and configured to supply a chemical liquid to the counter electrode while holding the counter electrode.
    Type: Grant
    Filed: August 29, 2019
    Date of Patent: December 28, 2021
    Assignee: Toshiba Memory Corporation
    Inventors: Yasuhito Yoshimizu, Hakuba Kitagawa, Takaumi Morita
  • Patent number: 11127610
    Abstract: A process module including a chamber body having a lower chamber and an upper chamber is provided. The lower chamber is configured to mate with the upper chamber along a diagonal interface. An electrode assembly having a substrate support is provided. The electrode assembly is coupled to the upper chamber. A hinge connect couples a first side of the lower chamber to a first side of the upper chamber. The upper chamber is configured to split and open along the diagonal interface and rotate about the hinge connect. The electrode assembly is configured to rotate with the upper chamber in a direction that is away from the lower chamber.
    Type: Grant
    Filed: January 4, 2019
    Date of Patent: September 21, 2021
    Assignee: Lam Research Corporation
    Inventor: Jerrel Kent Antolik
  • Patent number: 11127572
    Abstract: A plasma confinement ring for a plasma chamber comprises a ring-shaped element and a cylindrical element. The ring-shaped element of the plasma confinement ring surrounds a substrate support assembly in the plasma chamber and is arranged along a plane in which a substrate is arranged on the substrate support assembly. The ring-shaped element includes a plurality of orifices. The cylindrical element of the plasma confinement ring extends from an outer edge of the ring-shaped element in a direction perpendicular to the plane in which the substrate is arranged on the substrate support assembly in the plasma chamber. The plasma confinement ring is monolithic.
    Type: Grant
    Filed: August 7, 2018
    Date of Patent: September 21, 2021
    Assignee: SILFEX, INC.
    Inventors: Jihong Chen, Yi Song
  • Patent number: 11111582
    Abstract: A showerhead assembly includes a support structure and a porous plate. The support structure includes a support feature. The porous plate has a thermal conductivity of at least about 50 W/(mK) and includes a plurality of pores having an average diameter of less than about 100 um, wherein at least a portion of a perimeter of the porous plate rests on the support feature. The showerhead may be included within a processing chamber that is utilized to process a substrate.
    Type: Grant
    Filed: March 3, 2020
    Date of Patent: September 7, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Sumit Agarwal, Chad Peterson, Marc Shull
  • Patent number: 11062881
    Abstract: A plasma etching method according to an exemplary embodiment comprises arranging a substrate on an electrostatic chuck in a region surrounded by a focus ring. The substrate, in a state of being held by the electrostatic chuck, is etched by means of ions from a plasma. The electrostatic chuck includes a plurality of electrodes including a first electrode and a second electrode. The first electrode extends under a central region of the substrate. The second electrode extends under an edge region of the substrate. A plurality of voltages are respectively applied to the plurality of electrodes, wherein the plurality of voltages are determined such that, in the state in which the substrate is held by the electrostatic chuck, the ions from the plasma are incident on both the central region and the edge region substantially vertically.
    Type: Grant
    Filed: July 19, 2019
    Date of Patent: July 13, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masayuki Sawataishi, Jun Hirose
  • Patent number: 10957515
    Abstract: A plasma processing method is provided that includes applying a radio frequency power to an upper electrode of a chamber, and performing a coating process by supplying a coating gas, including a carbon-containing gas, to an interior of the chamber to generate a plasma from the supplied coating gas, and coating the interior of the chamber with a carbon film using the plasma generated from the coating gas. The plasma processing method further includes performing an etching process after the coating process by supplying an etching gas, including a fluorocarbon-containing gas, to the interior of the chamber to generate a plasma from the supplied etching gas and etching a first silicon-containing film that is arranged on a second silicon-containing film covering an electrode formed on a workpiece using the plasma generated from the etching gas.
    Type: Grant
    Filed: February 14, 2018
    Date of Patent: March 23, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Masanori Hosoya, Soichiro Kimura, Shinya Morikita
  • Patent number: 10916410
    Abstract: A plasma processing apparatus includes a processing chamber, a high frequency power supply and a load variation stabilization circuit. The high frequency power supply is configured to supply a high frequency power to the processing chamber and generate plasma inside the processing chamber. The load variation stabilization circuit is connected in parallel with the processing chamber at a connection portion provided between the high frequency power supply and the processing chamber. The load variation stabilization circuit is configured to suppress variation in a load impedance when viewing a downstream side from the connection portion.
    Type: Grant
    Filed: January 10, 2017
    Date of Patent: February 9, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Atsuki Furuya
  • Patent number: 10903056
    Abstract: Plasma source assemblies comprising an RF hot electrode having a body and at least one return electrode spaced from the RF hot electrode to provide a gap in which a plasma can be formed. An RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less than or equal to about 25% of the length of the RF hot electrode.
    Type: Grant
    Filed: May 15, 2018
    Date of Patent: January 26, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Anantha K. Subramani, John C. Forster, Philip A. Kraus, Farzad Houshmand, Hanhong Chen
  • Patent number: 10847348
    Abstract: A plasma processing apparatus includes a processing vessel, a lower electrode, an annular member, an inner upper electrode, an outer upper electrode, a processing gas supply, a first high frequency power supply and a first DC power supply. The lower electrode is configured to place a processing target substrate. The annular member is disposed on an outer peripheral portion of the lower electrode. The inner upper electrode is disposed to face the lower electrode. The outer upper electrode is disposed at an outside of the inner upper electrode. The first high frequency power supply applies a first high frequency power. The first DC power supply applies a first variable DC voltage to the outer upper electrode. At least a part of a surface of the outer upper electrode exposed to the processing space is located higher than a surface of the inner upper electrode exposed to the processing space.
    Type: Grant
    Filed: December 2, 2019
    Date of Patent: November 24, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toshiya Tsukahara, Shuhei Yamabe, Kota Yachi, Tetsuji Sato, Yohei Uchida, Ayuta Suzuki, Yosuke Tamura, Hidetoshi Hanaoka, Junichi Sasaki
  • Patent number: 10832923
    Abstract: A lower plasma-exclusion-zone ring for a bevel etcher is provided that is configured to etch a bevel edge of a substrate. The lower plasma-exclusion-zone ring includes a ring-shaped body and a radially-outer stepped surface. The ring-shaped body of the lower plasma-exclusion-zone ring defines an upper surface, a lower surface, a radially inner surface, and a radially outer surface. The radially-outer stepped surface of the lower plasma-exclusion-zone ring extending inwardly into the ring-shaped body between the radially outer surface of the ring-shaped body and the upper surface of the ring-shaped body. The ring-shaped body is made of a material selected from a group consisting of aluminum oxide, aluminum nitride, silicon, silicon carbide, silicon nitride, and yttria.
    Type: Grant
    Filed: June 29, 2017
    Date of Patent: November 10, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Tong Fang, Yunsang Kim, Keechan Kim, George Stojakovic
  • Patent number: 10811282
    Abstract: An upper plasma-exclusion-zone ring for a bevel etcher is provided that is configured to etch a bevel edge of a substrate. The upper plasma-exclusion-zone ring includes a ring-shaped body and a radially-inner stepped surface. The ring-shaped body of the upper plasma-exclusion-zone ring defines an upper surface, a lower surface, a radially inner surface, and a radially outer surface. The radially-inner stepped surface of the upper plasma-exclusion-zone ring extends inwardly into the ring-shaped body between the radially inner surface of the ring-shaped body and the lower surface of the ring-shaped body. The ring-shaped body is made of a material selected from a group consisting of aluminum oxide, aluminum nitride, silicon, silicon carbide, silicon nitride, and yttria.
    Type: Grant
    Filed: June 29, 2017
    Date of Patent: October 20, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Tong Fang, Yunsang Kim, Keechan Kim, George Stojakovic
  • Patent number: 10804129
    Abstract: An electrostatic chuck assembly for processing a semiconductor substrate is provided. The electrostatic chuck assembly includes a first layer, a baseplate, a second layer, and at least one annular gasket. The first layer includes ceramic material and a first radio frequency (RF) electrode. The first RF electrode is embedded in the ceramic material. The second layer is disposed between the first layer and the baseplate. The at least one annular gasket extends along an upper surface of the baseplate and through the second layer. The at least one annular gasket electrically couples the upper surface of the baseplate to the first RF electrode. RF power passes from the baseplate to the first RF electrode through the at least one annular gasket.
    Type: Grant
    Filed: May 24, 2018
    Date of Patent: October 13, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Christopher Kimball, Keith Gaff, Alexander Matyushkin, Zhigang Chen, Keith Comendant
  • Patent number: 10741424
    Abstract: A semiconductor processing device according to the present invention includes a process chamber having an inner space in which plasma is generated and a chuck unit disposed in the inner space and supporting a substrate processed by the plasma. The process chamber includes a first chamber portion and a second chamber portion that are opened from each other, and when the first chamber portion and the second chamber portion are closed together, the process chamber is provided with the inner space in which the plasma is generated. When the first chamber portion and the second chamber portion are opened from each other, the chuck unit is exposed to outside.
    Type: Grant
    Filed: April 2, 2018
    Date of Patent: August 11, 2020
    Assignees: ALLIED TECHFINDERS CO., LTD
    Inventor: Kee Won Suh
  • Patent number: 10629458
    Abstract: A method of cleaning a bevel edge of a semiconductor substrate is provided. A semiconductor substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. The substrate has a dielectric layer overlying a top surface and a bevel edge of the substrate, the layer extending above and below an apex of the bevel edge. A process gas is introduced into the reaction chamber and energized into a plasma. The bevel edge is cleaned with the plasma so as to remove the layer below the apex without removing all of the layer above the apex.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: April 21, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Tong Fang, Yunsang Kim, Keechan Kim, George Stojakovic
  • Patent number: 10566181
    Abstract: Examples of a substrate processing apparatus include a stage, a driving unit for rotating the stage, an electrode facing only a part of an outer edge of the stage, a high-frequency power supply unit for supplying high-frequency power to the electrode, and a gas supply device for supplying gas to a gap between the electrode and the stage.
    Type: Grant
    Filed: August 2, 2018
    Date of Patent: February 18, 2020
    Assignee: ASM IP HOLDING B.V.
    Inventor: Yukihiro Mori
  • Patent number: 10553405
    Abstract: A ring-shaped electrode includes a silicon ring body, and a cover body joined to at least a part of a surface of the ring body via a joining part, and having a better plasma resistance than silicon. The joining part has a heat resistance to withstand a temperature of at least 150° C., melts at 700° C. or below, and contains boron oxide.
    Type: Grant
    Filed: July 28, 2017
    Date of Patent: February 4, 2020
    Assignee: THINKON NEW TECHNOLOGY JAPAN CORPORATION
    Inventors: Atsushi Ikari, Satoshi Fujii
  • Patent number: 10301718
    Abstract: Pedestal assemblies and methods for using said pedestal assemblies, used in processing chambers implemented for processing substrates are disclosed. In one example, the pedestal assembly includes a center column coupled to a lower chamber body of a processing chamber. A pedestal body is coupled to the center column. The pedestal body includes a substrate support surface and an annular step formed around a circumference of the pedestal body and surrounding the substrate support surface. Further included is a first annular ring segment disposed within the annular step. The first annular ring is defined from a conductive material. A second annular ring segment is also disposed within the annular step. The second annular ring is defined from a dielectric material. The first annular ring and the second annular ring fill the annular step around the circumference of the pedestal body.
    Type: Grant
    Filed: March 22, 2016
    Date of Patent: May 28, 2019
    Assignee: Lam Research Corporation
    Inventors: Ryan Blaquiere, Ramesh Chandrasekharan, Shankar Swaminathan, Yukinori Sakiyama
  • Patent number: 10276426
    Abstract: A spin dry etching process includes loading an object into a dry etching system. A dry etching process is performed to the object, and the object is spun while the dry etching process is being performed. The spin dry etching process is performed using a semiconductor fabrication system. The semiconductor fabrication system includes a dry etching chamber in which a dry etching process is performed. A holder apparatus has a horizontally-facing slot that is configured for horizontal insertion of an etchable object therein. The etchable object includes either a photomask or a wafer. A controller is communicatively coupled to the holder apparatus and configured to spin the holder apparatus in a clockwise or counterclockwise direction while the dry etching process is being performed. An insertion of the etchable object into the horizontally-facing slot of the holder apparatus restricts a movement of the object as the dry etching process is performed.
    Type: Grant
    Filed: July 7, 2016
    Date of Patent: April 30, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Chiang Tu, Chun-Lang Chen
  • Patent number: 10269608
    Abstract: In one embodiment, a semiconductor manufacturing apparatus includes an electrostatic chuck that includes a base and a first electrode provided on the base and is configured to electrostatically adsorb a wafer on the first electrode. The apparatus further includes a measurement module configured to measure potential of the wafer. The apparatus further includes a controller configured to adjust potential of the base based on the potential of the wafer and to adjust potential of the first electrode based on the potential of the wafer or the base, when the potential of the wafer measured by the measurement module changes.
    Type: Grant
    Filed: September 12, 2017
    Date of Patent: April 23, 2019
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Yuya Matsuda
  • Patent number: 10026596
    Abstract: A substrate processing apparatus includes: a cylindrical shaped chamber configured to accommodate a substrate; a movable electrode capable of moving along a central axis of the cylindrical shaped chamber within the cylindrical shaped chamber; a facing electrode facing the movable electrode within the cylindrical shaped chamber; and an expansible/contractible partition wall connecting the movable electrode with an end wall on one side of the cylindrical shaped chamber. A high frequency power is applied to a first space between the movable electrode and the facing electrode, a processing gas is introduced thereto, and the movable electrode is not in contact with a sidewall of the cylindrical shaped chamber, a first dielectric member is provided at the cylindrical shaped chamber's sidewall facing the movable electrode, and an overlap area between the first dielectric member and a side surface of the movable electrode is changed according to movement of the movable electrode.
    Type: Grant
    Filed: August 19, 2014
    Date of Patent: July 17, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Daisuke Hayashi
  • Patent number: 9997381
    Abstract: An edge ring assembly is disclosed for use in a plasma processing chamber, which includes an RF conductive ring positioned on an annular surface of a base plate and configured to surround an upper portion of the baseplate and extend underneath an outer edge of a wafer positioned on the upper surface of the baseplate, and a wafer edge protection ring positioned above an upper surface of the RF conductive ring and configured to extend over the outer edge of the wafer. The protection ring has an inner edge portion with a uniform thickness, which extends over the outer edge of the wafer, a conical upper surface extending outward from the inner edge portion to a horizontal upper surface, an inner annular recess which is positioned on the upper surface of the RF conductive and configured to extend over the outer edge of the wafer.
    Type: Grant
    Filed: February 7, 2014
    Date of Patent: June 12, 2018
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Brian McMillin, Arthur Sato, Neil Benjamin
  • Patent number: 9799546
    Abstract: In one embodiment, a semiconductor manufacturing apparatus includes an electrostatic chuck that includes a base and a first electrode provided on the base and is configured to electrostatically adsorb a wafer on the first electrode. The apparatus further includes a measurement module configured to measure potential of the wafer. The apparatus further includes a controller configured to adjust potential of the base based on the potential of the wafer and to adjust potential of the first electrode based on the potential of the wafer or the base, when the potential of the wafer measured by the measurement module changes.
    Type: Grant
    Filed: February 9, 2016
    Date of Patent: October 24, 2017
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Yuya Matsuda
  • Patent number: 9695502
    Abstract: Apparatus for processing substrates are provided herein. In some embodiments, an apparatus includes a process kit comprising a shield having one or more sidewalls configured to surround a first volume, the first volume disposed within an inner volume of a process chamber; and a first ring moveable between a first position, wherein the first ring rests on the shield, and a second position, wherein a gap is formed between an outer surface of the first ring and an inner surface of the one or more sidewalls, wherein a width of the gap is less than about two plasma sheath widths for a plasma formed at a frequency of about 40 MHz or higher and at a pressure of about 140 mTorr or lower.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: July 4, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Alan Ritchie, Donny Young