Specified Gas Feed Or Withdrawal Patents (Class 204/298.33)
  • Patent number: 11865591
    Abstract: A method of cleaning a stage in a plasma processing apparatus including the stage on which a substrate is placed, a lifting mechanism configured to raise and lower the substrate with respect to the stage, and a high-frequency power supply connected to the stage, includes: separating the stage and the substrate from each other using the lifting mechanism; and after the separating the stage and the substrate from each other, removing a deposit deposited on the stage with plasma generated by supplying a high-frequency power from the high-frequency power supply to the stage. In the separating the stage and the substrate from each other, a separation distance between the stage and the substrate is set such that a combined impedance formed around an outer peripheral portion of the stage is lower than a combined impedance formed immediately above a central portion of the stage.
    Type: Grant
    Filed: November 24, 2020
    Date of Patent: January 9, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takamitsu Takayama, Junichi Sasaki
  • Patent number: 10741421
    Abstract: According to an embodiment, a semiconductor manufacturing apparatus includes a holder configured to hold a processing object, a heater provided at the holder and configured to heat the processing object, a first exhaust port provided above the holder and facing the holder, and an exhaust duct. The exhaust duct is provided on an outer side surface of the first exhaust port and includes an extension and contraction function.
    Type: Grant
    Filed: February 21, 2018
    Date of Patent: August 11, 2020
    Assignee: Toshiba Memory Corporation
    Inventors: Takanori Fukusumi, Yukinobu Miyamoto
  • Patent number: 9837251
    Abstract: A plasma etching method includes a first step of attracting a substrate onto a monopolar electrostatic chuck in a first plasma, which is a plasma of a noble gas, and stopping generation of the first plasma after the attracting of the substrate, and a second step of etching the substrate in a second plasma, which is a plasma of a halogen-based etching gas, and stopping generation of the second plasma after the etching of the substrate. In the first step, the generation of the first plasma is stopped when a positive voltage is applied from the monopolar electrostatic chuck to the substrate. In the second step, the generation of the second plasma is stopped when a negative voltage is applied from the monopolar electrostatic chuck to the substrate.
    Type: Grant
    Filed: February 25, 2015
    Date of Patent: December 5, 2017
    Assignee: ULVAC, INC.
    Inventor: Naoki Moriguchi
  • Publication number: 20150096882
    Abstract: A plasma processing apparatus 1 includes a central inlet unit that introduces a processing gas containing at least one of an Ar gas, a He gas and an etching gas toward a central portion of a wafer W; a peripheral inlet unit 61 that introduces the processing gas toward a periphery portion thereof; a flow rate adjusting unit that adjusts a flow rate of the processing gas introduced toward the central portion thereof from the central inlet unit 55 and a flow rate of the processing gas introduced toward the periphery portion thereof from the peripheral inlet unit 61; and a controller 49 that controls the flow rates of the processing gas adjusted by the flow rate adjusting unit such that a partial pressure ratio of the He gas to the Ar gas contained in the processing gas is equal to or higher than a preset value.
    Type: Application
    Filed: June 14, 2013
    Publication date: April 9, 2015
    Inventors: Naoki Matsumoto, Koji Koyama, Toshihisa Ozu, Shota Yoshimura
  • Publication number: 20150060265
    Abstract: Embodiments of the present technology may include a method of processing a semiconductor substrate. The method may include providing the semiconductor substrate in a processing region. Additionally, the method may include flowing gas through a cavity defined by a powered electrode. The method may further include applying a negative voltage to the powered electrode. Also, the method may include striking a hollow cathode discharge in the cavity to form hollow cathode discharge effluents from the gas. The hollow cathode discharge effluents may then be flowed to the processing region through a plurality of apertures defined by electrically grounded electrode. The method may then include reacting the hollow cathode discharge effluents with the semiconductor substrate in the processing region.
    Type: Application
    Filed: August 25, 2014
    Publication date: March 5, 2015
    Inventors: Tae Seung Cho, Yi-Heng Sen, Soonam Park, Dmitry Lubomirsky
  • Patent number: 8969753
    Abstract: A plasma treatment installation including at least two stationary workpiece holders adapted for controlled rotation about their respective axis and having supporting plates for supporting workpieces for the treatment thereof, at least one hood to be set on a workpiece holder that is adapted to enclose each of a plurality of workpiece holders to form a sealed treatment space, and a manipulator for automatically equipping the supporting plates of a workpiece holder with workpieces, while the other workpiece holder is covered by the hood to perform the plasma treatment of the workpieces.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: March 3, 2015
    Inventor: Siegfried Straemke
  • Publication number: 20140302252
    Abstract: Samples to be imaged in a Transmission Electron Microscope must be thinned to form a lamella with a thickness of, for example, 20 nm. This is commonly done by sputtering with ions in a charged particle apparatus equipped with a Scanning Electron Microscope (SEM) column, a Focused Ion Beam (FIB) column, and one or more Gas Injection Systems (GISses). A problem that occurs is that a large part of the lamella becomes amorphous due to bombardment by ions, and that ions get implanted in the sample. The invention provides a solution by applying a voltage difference between the capillary of the GIS and the sample, and directing a beam of ions or electrons to the jet of gas. The beam ionizes gas that is accelerated to the sample, where (when using a low voltage between sample and GIS) low energy milling occurs, and thus little sample thickness becomes amorphous.
    Type: Application
    Filed: April 2, 2014
    Publication date: October 9, 2014
    Applicant: FEI Company
    Inventors: Johannes Jacobus Lambertus Mulders, Remco Theodorus Johannes Petrus Geurts, Petrus Hubertus Franciscus Trompenaars, Eric Gerardus Theodoor Bosch
  • Publication number: 20140251790
    Abstract: To restrict generation of particles or deterioration in process reproducibility caused by a large amount or carbon polymers generated in a plasma generation portion in an ion beam etching apparatus when a magnetic film on a substrate is etched with reactive ion beam etching in manufacturing a magnetic device. In an ion beam etching apparatus, first carbon-containing gas is introduced by a first gas introduction part into a plasma generation portion, and second carbon-containing gas is additionally introduced by a second gas introduction part into a substrate processing space to perform reactive ion beam etching, thereby etching a magnetic material at preferable selection ratio and etching rate while restricting carbon polymers from being formed in the plasma generation portion.
    Type: Application
    Filed: October 24, 2012
    Publication date: September 11, 2014
    Inventors: Yoshimitsu Kodaira, Tomohiko Toyosato
  • Publication number: 20140231251
    Abstract: An aspect of the present embodiment, there is provided a gas supply member includes a body, and a gas supply path penetrating into the body, the gas supply path including a first channel at an inlet side and a second channel connected to the first channel at an outlet side, the first channel having a first diameter and a diameter of the second channel being monotonically increased towards the outlet side from the first diameter to a second diameter, wherein an alumina film is provided on a first sidewall of the first channel, an yttrium-containing film is provided on a second sidewall of the second channel and a surface of the body at the outlet side.
    Type: Application
    Filed: February 14, 2014
    Publication date: August 21, 2014
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hisashi Hashiguchi, Makoto Saito, Hideo Eto
  • Publication number: 20130309421
    Abstract: The present invention provides a plasma ion beam system that includes multiple gas sources and that can be used for performing multiple operations using different ion species to create or alter submicron features of a work piece. The system preferably uses an inductively coupled, magnetically enhanced ion beam source, suitable in conjunction with probe-forming optics sources to produce ion beams of a wide variety of ions without substantial kinetic energy oscillations induced by the source, thereby permitting formation of a high resolution beam.
    Type: Application
    Filed: March 26, 2013
    Publication date: November 21, 2013
    Applicant: FEI Company
    Inventor: FEI Company
  • Patent number: 8545630
    Abstract: A coating apparatus includes a chamber device and a transporting device. The chamber device defines two coating chambers, two parallel coating channels, and a transportation channel communicating with the coating channels. The coating chambers are separated from each other. The coating chambers and the coating channels are alternately arranged. Each coating chamber defines at least one coating slot communicating with the respective coating channel. The transporting device includes a shaft rotatable with respect to the chamber device and a carrying board fixed on the shaft. The shaft is axially movable in the transportation channel. The carrying board is receivable in each of the coating channels for exposing a substrate to the corresponding coating chamber via the associated coating slot. The carrying board is rotatable about the shaft in each of the coating channels and jointly movable with the shaft in and along the transportation channel between the coating channels.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: October 1, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Publication number: 20130248357
    Abstract: A glow discharge milling apparatus milling a sample by using glow discharge includes a glow discharge tube in which in an atmosphere of mixed gas supplied through a pipe, a voltage is applied between an internal electrode and a sample placed opposite to the electrode so that glow discharge is generated; a reception part receiving a mixing ratio by which inert gas and oxygen gas are to be mixed with each other; a control part, in accordance with the mixing ratio received by the reception part, controlling the amounts of supply of the inert gas and the oxygen gas; and a supply unit mixing the inert gas and the oxygen gas with each other in accordance with the amounts of supply controlled by the control part and then supplying the mixed gas to said glow discharge tube through said pipe.
    Type: Application
    Filed: March 21, 2013
    Publication date: September 26, 2013
    Applicant: HORIBA, LTD.
    Inventors: Akira FUJIMOTO, Tatsuhito NAKAMURA
  • Publication number: 20130118895
    Abstract: The invention relates to an apparatus for reactive ion etching of a substrate, comprising: a plasma etch zone including an etch gas supply and arranged with a plasma generating structure for igniting a plasma and comprising an electrode structure arranged to accelerate the etch plasma toward a substrate portion to have ions impinge on the surface of the substrate; a passivation zone including a cavity provided with a passivation gas supply; said supply arranged for providing a passivation gas flow from the supply to the cavity; the cavity in use being bounded by the injector head and the substrate surface; and a gas purge structure comprising a gas exhaust arranged between said etch zone and passivation zone; the gas purge structure thus forming a spatial division of the etch and passivation zones.
    Type: Application
    Filed: February 25, 2011
    Publication date: May 16, 2013
    Applicant: Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO
    Inventors: Freddy Roozeboom, Adriaan Marinus Lankhorst, Paulus Willibrordus George Poodt, Norbertus Benedictus Koster, Gerardus Johan Jozef Winands, Adrianus Johannes Petrus Maria Vermeer
  • Publication number: 20130105303
    Abstract: Methods and process chambers for etching of low-k and other dielectric films are described. For example, a method includes modifying portions of the low-k dielectric layer with a plasma process. The modified portions of the low-k dielectric layer are etched selectively over a mask layer and unmodified portions of the low-k dielectric layer. Etch chambers having multiple chamber regions for alternately generating distinct plasmas are described. In embodiments, a first charge coupled plasma source is provided to generate an ion flux to a workpiece in one operational mode, while a secondary plasma source is provided to provide reactive species flux without significant ion flux to the workpiece in another operational mode. A controller operates to cycle the operational modes repeatedly over time to remove a desired cumulative amount of the dielectric material.
    Type: Application
    Filed: October 12, 2012
    Publication date: May 2, 2013
    Inventors: Dmitry LUBOMIRSKY, Srinivas NEMANI, Ellie YIEH, Sergey G. BELOSTOTSKIY
  • Publication number: 20130026136
    Abstract: This disclosure provides systems, methods and apparatus for fabricating electromechanical system devices within a plasma-etch reaction chamber. In one aspect, a plasma-etch system includes a plasma-etch reaction chamber, an inlet in fluid communication with the reaction chamber, a cathode positioned within the reaction chamber and a non-hollow anode positioned within the reaction chamber between the inlet and the cathode. The inlet is configured to introduce a process gas into the reaction chamber such that at least a portion of the process gas strikes an upper surface of the anode and is allowed to flow across the upper surface and around the edges of the anode. The anode can be a liner plate in place of a showerhead.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Applicant: QUALCOMM MEMS Technologies, Inc.
    Inventor: Teruo Sasagawa
  • Publication number: 20110220495
    Abstract: The present invention relates to an ignition device for igniting a high-current discharge of an electrical arc evaporator in a vacuum coating system. Ignition is performed by means of mechanically closing and opening a contact between the cathode and the anode. Contact is established by means of an ignition finger that can move on a forced path. On account of the forced path, the ignition finger can be moved by means of a simple mechanical drive to a park position, which is protected against coating, and said ignition finger can also be used to ignite a second target.
    Type: Application
    Filed: October 8, 2009
    Publication date: September 15, 2011
    Applicant: OERLIKON TRADING AG, TRUBBACH
    Inventors: Siegfried Krassnitzer, Oliver Gstoehl, Juerg Hagmann
  • Patent number: 7913752
    Abstract: A cooling system for a vacuum processing apparatus is provided with an internal heat conduction path for transfer of heat entering the subject body through the vacuum processing apparatus, a heat radiation path for radiation of the heat to an outside of the vacuum processing apparatus and a heat conduction path for regulation of quantity of heat transfer between the internal heat conduction path and the heat radiation path. Preferably, a heat pipe is applied to the internal heat conduction path.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: March 29, 2011
    Assignee: Ishikawajima-Harima Heavy Industries Co., Ltd.
    Inventors: Masashi Ueda, Yoshimi Watabe, Shusaku Yamasaki, Kazuo Miyoshi, Hiroyuki Otsuka
  • Patent number: 7776192
    Abstract: An elongate vacuum system for coating one or both sides of a flat substrate which can be displaced by the system, comprises at least one magnetron provided with a magnetron surrounding area and is subdivided into successive compartments in the direction of transportation of the substrate by separating walls having closeable suction openings. The compartments can be evacuated either directly by a vacuum connection provided on the compartment or indirectly via a suction opening in the separating wall. At least one compartment comprises an upper partial compartment which is arranged above the substrate. The partial compartment comprises a closeable upper opening in at least one of the outer walls thereof. The aim is to produce an elongate coating system which is flexible to use according to the requirements of various one and two-sided coating processes and ensures a stable, differential and process-optimized sputter atmosphere.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: August 17, 2010
    Assignee: Von Ardenne Anlagentechnik GmbH
    Inventors: Wolfgang Erbkamm, Dietmar Schulze, Jens Melcher, Olaf Gawer
  • Publication number: 20100155971
    Abstract: A gas mixture supplying method includes supplying plural kinds of gases through gas supply lines connected to a common pipeline and supplying a gas mixture of the plural kinds of gases from a gas outlet of the common pipeline into a region where the gas mixture is used through a gas mixture supply line. When a typical gas supplied in a gaseous state from a gas supply unit and a liquid source gas vaporized by heating a liquid source material supplied from a liquid source material supply unit by a vaporizing unit are supplied simultaneously, the liquid source gas is supplied from one of the gas supply lines provided at a position closer to the gas outlet than that for the typical gas, and the liquid source gas is supplied to a downstream side of a filter for removing particles in the typical gas.
    Type: Application
    Filed: December 18, 2009
    Publication date: June 24, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yohei Uchida
  • Publication number: 20090206055
    Abstract: In a plasma processing apparatus for performing a plasma process on a target substrate, a baffle plate has an opening through which the process passes and partitions the internal space of the processing container into a plasma process space and an exhaust space, the opening being a single continuous slit. The baffle plate is disposed in an annular gas exhaust path around the mounting table, and the slit includes a plurality of linear slit portions extending in a radial direction of the annular baffle plate and a plurality of curved slit portions, each of which interconnects ends of a pair of the adjacent linear slit portions, so that the slit is formed in a wave shape in its entirety.
    Type: Application
    Filed: February 19, 2009
    Publication date: August 20, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tetsuji SATO, Akihiro Yoshimura
  • Publication number: 20090014323
    Abstract: The present invention generally is a cathode suitable for use in high temperature plasma etch applications. In one embodiment, the cathode includes a ceramic electrostatic chuck secured to a base. The base has cooling conduits formed therein. A rigid support ring is disposed between the chuck and the base, thereby maintaining the chuck and the base in a spaced-apart relation.
    Type: Application
    Filed: July 11, 2008
    Publication date: January 15, 2009
    Inventors: Boris Yendler, Alexander Matyushkin, Denis Koosau, Glen Egami
  • Publication number: 20080271991
    Abstract: A continuous-flow supercritical fluid (SCF) apparatus and method for the deposition of thin films onto microelectronic devices or the removal of unwanted layers, particles and/or residues from microelectronic devices having same thereon. The SCF apparatus preferably includes a dynamic mixer to ensure homogeneous mixing of the SCF and other chemical components.
    Type: Application
    Filed: April 17, 2006
    Publication date: November 6, 2008
    Applicant: Advanced Technology Materials , Inc.
    Inventors: Michael B. Korzenski, Eliodor G. Ghenciu, Chongying Xu, Thomas H. Baum, Pamela M. Visintin
  • Patent number: 7419567
    Abstract: A plasma processing apparatus includes a worktable in a process chamber to horizontally place a target substrate thereon. A plasma generation space is defined above and around the worktable within the process chamber. The plasma generation space includes a peripheral plasma region and a main plasma region respectively located outside and inside an outer edge of the target substrate placed on the worktable. The apparatus further includes a magnetic field forming mechanism configured to form first, second, and third magnetic fields within the peripheral plasma region. The first magnetic field includes magnetic force lines extending along a vertical first cylindrical plane. The second magnetic field includes magnetic force lines extending along a vertical second cylindrical plane located inside the first cylindrical plane. The third magnetic field includes magnetic force lines extending along vertical radial planes located between the first and second cylindrical planes.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: September 2, 2008
    Assignees: Tokyo Electron Limited, Shin-Etsu Chemical Co., Ltd.
    Inventors: Masahide Iwasaki, Koji Miyata
  • Patent number: 7413639
    Abstract: The invention relates to an energy and media connection module for coating installations. Said module serves for supplying with cooling water, compressed air, process gases, signal, control and cathode power. It can be moved from one coating chamber to another coating chamber along a coating line by a single person in a short time. Further, it is possible to separate the energy connection module from a coating chamber for maintenance or displacement purposes without mechanically demounting all connections.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: August 19, 2008
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Guido Hattendorf, Gert Rödling, Gerhard Rist
  • Patent number: 7300558
    Abstract: An apparatus for rapidly establishing at least one preselected gas pressure in a process chamber comprising: (a) a chamber defining an interior space adapted to be maintained at a reduced pressure; and (b) a gas supply means for supplying at least one burst of gas to the chamber for rapidly establishing the at least one preselected gas pressure in the chamber, the gas supply means including: (i) a source of the gas; (ii) a supply ballast fluidly connected to the gas source for receiving the gas from the source; (iii) at least one burst ballast fluidly connected to the supply ballast via a metering valve for receiving the gas from the supply ballast; and (iv) an on/off valve fluidly connected to the at least one burst ballast and the chamber for supplying the process chamber with the gas from the at least one burst ballast.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: November 27, 2007
    Assignee: Seagate Technology LLC
    Inventor: Charles F. Brucker
  • Patent number: 7276140
    Abstract: A plasma accelerating apparatus and a plasma processing system having the same are provided. The apparatus includes a circular channel comprising an inner wall, an outer wall, and an end wall connected to an end of the inner wall and the outer wall to form an outlet port at the other ends of the inner and outer walls; a gas supply portion to supply a gas to an inside of the channel; and a plasma generating and accelerating portion to supply ionization energy to the gas inside the channel to generate a plasma beam, and to accelerate the generated plasma beam toward the outlet port, wherein one of the inner wall and outer wall of the channel is inclined at an angle so that the other end of the wall is located closer to a center of the plasma accelerating apparatus.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: October 2, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-woo Yoo, Won-taek Park
  • Patent number: 7220937
    Abstract: A gas distribution ceiling electrode for use as a capacitive source power applicator and gas distribution showerhead in a plasma reactor includes a metal base and a process-compatible protective layer on the interior surface of he electrode having a dopant impurity concentration within a range corresponding to a minimal change in RF power absorption in the protective layer at an RF source power frequency with changes in coating temperature and or thickness. The metal base may have a set of first arcuately slotted gas passages and a set of pressure-dropping orifices coinciding axially with the top ends of the gas passages. The protective coating a set of arcuately slotted gas passages in registration gas passages of the metal base. The pressure drop in the orifices and the electric field drop in the slotted gas passages are both sufficient to maintain the pressure and electric field within the gas passages within a range that prevents arcing.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: May 22, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hofman, Jennifer Y. Sun, Senh Thach, Yan Ye
  • Patent number: 7196283
    Abstract: An overhead gas distribution electrode forming at least a portion of the ceiling of a plasma reactor has a bottom surface facing a processing zone of the reactor. The electrode includes a gas supply manifold for receiving process gas at a supply pressure at a top portion of the electrode and plural pressure-dropping cylindrical orifices extending axially relative to the electrode from the gas supply manifold at one end of each the orifice. A radial gas distribution manifold within the electrode extends radially across the electrode. Plural axially extending high conductance gas flow passages couple the opposite ends of respective ones of the plural pressure-dropping orifices to the radial gas distribution manifold. Plural high conductance cylindrical gas outlet holes are formed in the plasma-facing bottom surface of the electrode and extend axially to the radial gas distribution manifold.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: March 27, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Douglas A. Buchberger, Jr., Daniel J. Hoffman, Olga Regelman, James Carducci, Keiji Horioka, Jang Gyoo Yang
  • Patent number: 7172675
    Abstract: An observation window airtightly installed at a wall of a processing room of a plasma processing apparatus includes a body having a through hole with an opening facing the processing room, a transparent member installed at a side of the body opposite to the processing room and a magnetic pole pair having two different magnetic poles disposed opposite each other with the hole interposed therebetween. The magnetic pole pair is configured to have a sufficient magnetic field strength to prevent electrons which form a plasma in the processing room from reaching the transparent member through the hole.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: February 6, 2007
    Assignee: Tokyo Electron Limited
    Inventor: Masayuki Tomoyasu
  • Patent number: 7166233
    Abstract: In a method for performing a plasma-assisted treatment on a substrate in a reactor chamber by: introducing at least one process gas into the reactor chamber; and creating a plasma within the reactor chamber by establishing an RF electromagnetic field within the chamber and allowing the field to interact with the process gas, the electromagnetic field is controlled to have an energy level which varies cyclically between at least two values each sufficient to maintain the plasma, such that each energy level value is associated with performance of a respectively different treatment process on the substrate.
    Type: Grant
    Filed: February 15, 2002
    Date of Patent: January 23, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Wayne L. Johnson, Eric J. Strang
  • Patent number: 7147793
    Abstract: An etch profile tailoring system (100), for use with an etching process carried out on a wafer (130), has a scavenging plate (170) with a baseline etch profile, and at least one etch profile tuning structure (such as a plug) (160) replaceably disposed with respect to the scavenging plate (170) and configured to alter the baseline etch profile during the etching process so as to arrive at a desired etch profile. A method of performing maintenance on an etch profile tailoring system (100) involves the steps of performing an etching process on a wafer in accordance with a desired etch profile, determining whether or not maintenance should be performed, and (if the maintenance decision indicates that maintenance should be performed) replacing with a second plug before conducting an etching process on additional wafers.
    Type: Grant
    Filed: June 6, 2002
    Date of Patent: December 12, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Steven Fink
  • Patent number: 7104217
    Abstract: The present invention provides a plasma processing apparatus having an electrode plate arranging therein, an upper electrode to which a dielectric member or a cavity portion is provided, a dimension or a dielectric constant of which is determined in such a manner that resonance is generated at a frequency of high-frequency power supplied to the center of the back side and an electric field orthogonal to the electrode plate is generated, and a susceptor as a lower electrode so as to be opposed to each other, in order to reduce unevenness of an electric field distribution on the surface of the electrode in a plasma processing using a high-density plasma capable of coping with further refinement.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: September 12, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Shinji Himori, Toshiki Takahashi, Takumi Komatsu
  • Patent number: 6991701
    Abstract: A plasma treatment method comprising exhausting a process chamber so as to decompress the process chamber, mounting a wafer on a suscepter, supplying a process gas to the wafer through a shower electrode, applying high frequency power, which has a first frequency f1 lower than an inherent lower ion transit frequencies of the process gas, to the suscepter, and applying high frequency power, which has a second frequency f2 higher than an inherent upper ion transit frequencies of the process gas, whereby a plasma is generated in the process chamber and activated species influence the wafer.
    Type: Grant
    Filed: February 14, 2003
    Date of Patent: January 31, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Hiroto Takenaka, Hiroshi Nishikawa
  • Patent number: 6915760
    Abstract: The present invention provides a plasma processing apparatus having an electrode plate arranging therein, an upper electrode to which a dielectric member or a cavity portion is provided, a dimension or a dielectric constant of which is determined in such a manner that resonance is generated at a frequency of high-frequency power supplied to the center of the back side and an electric field orthogonal to the electrode plate is generated, and a susceptor as a lower electrode so as to be opposed to each other, in order to reduce unevenness of an electric field distribution on the surface of the electrode in a plasma processing using a high-density plasma capable of coping with further refinement.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: July 12, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Shinji Himori, Toshiki Takahashi, Takumi Komatsu
  • Patent number: 6896775
    Abstract: Magnetically enhanced plasma processing methods and apparatus are described. A magnetically enhanced plasma processing apparatus according to the present invention includes an anode and a cathode that is positioned adjacent to the anode. An ionization source generates a weakly-ionized plasma proximate to the cathode. A magnet is positioned to generate a magnetic field proximate to the weakly-ionized plasma. The magnetic field substantially traps electrons in the weakly-ionized plasma proximate to the cathode. A power supply produces an electric field in a gap between the anode and the cathode. The electric field generates excited atoms in the weakly-ionized plasma and generates secondary electrons from the cathode. The secondary electrons ionize the excited atoms, thereby creating a strongly-ionized plasma.
    Type: Grant
    Filed: October 29, 2002
    Date of Patent: May 24, 2005
    Assignee: Zond, Inc.
    Inventor: Roman Chistyakov
  • Patent number: 6887317
    Abstract: A substrate support is provided that features a lift pin having at least one larger diameter shoulder section that forms a relief region between the lift pin and a guide hole disposed through a substrate support. The shoulder section minimizes contact between the substrate support and lift pin guide hole, thereby reducing pin scratching, particle generation, component wear, and increasing the useful life of the pin. In another embodiment, a flat-bottom tip is provided to promote self-standing of the lift pin, reducing pin tilting or leaning of the lift pin within the guide hole.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: May 3, 2005
    Assignee: Applied Materials, Inc.
    Inventors: David T. Or, Keith K. Koai, Hiroyuki Takahama, Takahiro Ito, Koji Ota, Hiroshi Sato
  • Patent number: 6884298
    Abstract: A coating and developing treatment system for performing coating and developing treatment. A coating treatment unit is configured to form a resist film on a substrate. A developing treatment unit is configured to develop the substrate. A heating/cooling unit includes a heat plate configured to continuously heat and a cooling plate configured to continuously cool in one casing the substrate on which the resist film has been formed by the coating treatment unit. A gas nozzle is configured to supply a treatment gas to the resist film formed on the substrate to form a protective film on a surface of the resist film. The gas nozzle is disposed on a cooling plate side in the heating/cooling unit. The gas nozzle is configured to move to a position above the substrate on the cooling plate during cooling at the cooling plate, to supply the treatment gas.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: April 26, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Junichi Kitano, Yuji Matsuyama, Takahiro Kitano, Hidetami Yaegashi
  • Patent number: 6881295
    Abstract: A reactor of a chemical vapor deposition system is equipped with a gas feeder for blowing dopant gas to plural semiconductor wafers supported by a wafer boat at intervals, and the gas feeder has a gas passage gradually reduced in cross section and gas outlet holes equal in diameter and arranged along the wafer boat for keeping the doping gas concentration substantially constant around the semiconductor wafers, whereby the dopant is uniformly introduced in material deposited on all the semiconductor wafers.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: April 19, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Yutaka Nagakura
  • Patent number: 6818068
    Abstract: Aconveyer for treating hollow bodies, comprising several identical treatment stations (12, 13) that process at least one hollow body, whereby the respective treatment station for a given treatment stage communicates with a pressure source via distribution means that include a tight revolving extention. The invention is characterized in that the machine includes at least two independent and equivalent pressure sources (A1, A2) for the given treatment stage. The invention is also characterized in that it is divided up into the same number of treatment stations (12, 13) as the number of pressure sources existing for the machine and in that the distribution device (18) are such that each pressure source (A1, A2) is associated with a specific group.
    Type: Grant
    Filed: January 4, 2002
    Date of Patent: November 16, 2004
    Assignee: Sidel
    Inventors: Alain Guiffant, Jean-Michel Rius
  • Patent number: 6814837
    Abstract: According to one aspect of the disclosure, the present invention provides methods and arrangements for controlling supply process gas to a process chamber for use in the manufacturing industry. Methods include controlling the operation of a valve coupled to the supply process gas line in a way such that pressure bursts in the process chamber due to the operation of the valve are reduced, or even eliminated.
    Type: Grant
    Filed: October 20, 1998
    Date of Patent: November 9, 2004
    Assignee: Advance Micro Devices, Inc.
    Inventors: Kin-Sang Lam, Dennis C. Swartz, Roger Sorum
  • Publication number: 20040180269
    Abstract: A method for etching an organic anti-reflective coating (ARC) layer on a substrate in a plasma processing system comprising: introducing a process gas comprising ammonia (NH3), and a passivation gas; forming a plasma from the process gas; and exposing the substrate to the plasma. The process gas can, for example, constitute NH3 and a hydrocarbon gas such as at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, and C6H12. Additionally, the process chemistry can further comprise the addition of helium. The present invention further presents a method for forming a bilayer mask for etching a thin film on a substrate, wherein the method comprises: forming the thin film on the substrate; forming an ARC layer on the thin film; forming a photoresist pattern on the ARC layer; and transferring the photoresist pattern to the ARC layer with an etch process using a process gas comprising ammonia (NH3), and a passivation gas.
    Type: Application
    Filed: August 14, 2003
    Publication date: September 16, 2004
    Applicants: Tokyo Electron Limited, International Business Machines Corporation
    Inventors: Vaidyanathan Balasubramaniam, Koichiro Inazawa, Rich Wise, Arpan P. Mahorowala, Siddhartha Panda
  • Patent number: 6773558
    Abstract: A fluorine generator includes a vacuum chamber filled with a working gas. An r-f antenna is positioned outside the chamber across a dielectric window from a potassium fluoride (KF) source located in the chamber. The r-f antenna radiates through the window to heat the working gas and sublime the PK source to create a plasma. Crossed electric and magnetic fields in the chamber drive the heavier potassium ions in the plasma toward a collector in the chamber while confining the lighter fluorine and working gas ions for evacuation from the chamber.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: August 10, 2004
    Assignee: Archimedes Technology Group, Inc.
    Inventors: Stephen F. Agnew, Sergei Putvinski
  • Patent number: 6764658
    Abstract: A plasma generator includes several plasma sources distributed in an array for plasma treatment of surfaces. Each plasma source includes first and second conductive electrodes. Each second electrode has a gas passage defined therein, and one of the first electrodes is situated within the gas passage in spaced relation from the second electrode, with each gas passage thereby constituting the free space for plasma generation between each pair of first and second electrodes. An insulating layer is interposed between the first and second electrodes to facilitate plasma formation via dielectric barrier discharge (DBD) in the gas passages between the first and second electrodes. The first electrodes may be provided in a monolithic structure wherein they all protrude from a common bed, and similarly the second electrodes may be monolithically formed by defining the gas passages within a common second electrode member.
    Type: Grant
    Filed: January 8, 2002
    Date of Patent: July 20, 2004
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Ferencz S. Denes, Sorin O. Manolache, Noah Hershkowitz
  • Patent number: 6736931
    Abstract: A plasma chamber enclosure structure for use in an RF plasma reactor. The plasma chamber enclosure structure being a single-wall dielectric enclosure structure of an inverted cup-shape configuration and having ceiling with an interior surface of substantially flat conical configuration extending to a centrally located gas inlet. The plasma chamber enclosure structure having a sidewall with a lower cylindrical portion generally transverse to a pedestal when positioned over a reactor base, and a transitional portion between the lower cylindrical portion and the ceiling. The transitional portion extends inwardly from the lower cylindrical portion and includes a radius of curvature. The structure being adapted to cover the base to comprise the RF plasma reactor and to define a plasma-processing volume over the pedestal. The structure being formed of a dielectric material of silicon, silicon carbide, quartz, and/or alumina being capable of transmitting inductive power therethrough from an adjacent antenna.
    Type: Grant
    Filed: October 2, 2001
    Date of Patent: May 18, 2004
    Inventors: Kenneth S. Collins, Michael Rice, John Trow, Douglas Buchberger, Craig A. Roderick
  • Patent number: 6733621
    Abstract: A method and apparatus comprising a purge conduit and vent conduit attached to a turbo pump of a plasma etch chamber. The purge conduit may communicate with atmospheric air or with a nitrogen source or clean, dry air (CDA) source, and the vent conduit is fitted with a manual valve, an electric valve, or both, along with a flow restrictor and an end cap provided with an air or gas vent. The air flow restrictor facilitates gradual, rather than rapid, escape of air or gas from the chamber, through the turbo pump and from the vent conduit upon opening a gate valve between the chamber and the turbo, to prevent damage to the internal turbo pump components.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: May 11, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Jeng-Chiang Chuang
  • Patent number: 6677712
    Abstract: The invention is embodied in a plasma reactor for processing a semiconductor wafer, the reactor having a gas distribution plate including a front plate in the chamber and a back plate on an external side of the front plate, the gas distribution plate comprising a gas manifold adjacent the back plate, the back and front plates bonded together and forming an assembly. The assembly includes an array of holes through the front plate and communicating with the chamber, at least one gas flow-controlling orifice through the back plate and communicating between the manifold and at least one of the holes, the orifice having a diameter that determines gas flow rate to the at least one hole. In addition, an array of pucks is at least generally congruent with the array of holes and disposed within respective ones of the holes to define annular gas passages for gas flow through the front plate into the chamber, each of the annular gas passages being non-aligned with the orifice.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: January 13, 2004
    Assignee: Applied Materials Inc.
    Inventors: Dan Katz, Douglas A. Buchberger, Jr., Yan Ye, Robert B. Hagen, Xiaoye Zhao, Ananda H. Kumar, Kang-Lie Chiang, Hamid Noorbakhsh, Shiang-Bau Wang
  • Patent number: 6669987
    Abstract: A lock chamber (1) is isolated from the environment (U) by a lock-valve (3) and from a vacuum chamber configuration (7) by a lock valve (5). A turbo vacuum pump (13) acts upon the vacuum chamber configuration (7). An additional pump (9/15) is switchably (17) connected downstream from the pump, which switchably operates either as a prevacuum pump for the turbo vacuum pump (13) or as lock chamber pump.
    Type: Grant
    Filed: January 22, 2002
    Date of Patent: December 30, 2003
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventors: Franz Josef Schaefer, Helfried Weinzerl
  • Publication number: 20030221960
    Abstract: A semiconductor manufacturing device having a buffer unit which receives a substrate treating substance from an external source, stores it therein, and delivers it to an external unit.
    Type: Application
    Filed: March 14, 2003
    Publication date: December 4, 2003
    Inventors: Takashi Nakao, Kunihiro Miyazaki
  • Patent number: 6620288
    Abstract: In the substrate treatment apparatus including substrate treatment chambers (301 and 303) and a buffer chamber (302) having an exhaust system (306b) independent of the substrate treatment chambers, connection tubes (304a and 304b) are provided between the substrate treatment chambers and the buffer chamber, and gas inlets are respectively provided for the connection tubes. A gas (308) for treating a substrate flows from the connection tube (304a) into the substrate treatment chamber (301) and the buffer chamber (302), while a gas (309) for treating a substrate flows from the connection tube (304b) into the substrate treatment chamber (303) and the buffer chamber (302). Accordingly, the gas does not move from the substrate treatment chamber to the buffer chamber against a gas flow, thereby allowing the separation between ambiences.
    Type: Grant
    Filed: March 19, 2001
    Date of Patent: September 16, 2003
    Assignees: Semiconductor Energy Laboratory Co., Ltd., TDK Corporation
    Inventors: Hisato Shinohara, Naoto Kusumoto, Masato Yonezawa
  • Patent number: 6610180
    Abstract: A substrate processing device is provided in which an interior rotating body for a substrate holder, provided in the interior of a vacuum chamber, and an external rotating body, provided in the exterior of said vacuum chamber, are magnetically coupled, and which includes a can-seal type magnetic coupling-type rotation introduction mechanism which, by the rotational movement of the abovementioned exterior rotating body, controls the rotational movement of the abovementioned interior rotating body. A heat-accumulating member, maintained at a predetermined temperature, and a device for performing heat exchange between the heat-accumulating member and the substrate holder, are provided in said vacuum chamber interior.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: August 26, 2003
    Assignee: Anelva Corporation
    Inventors: Junro Sakai, Nobuyuki Takahashi