Magnetically Enhancing The Plasma Patents (Class 216/70)
  • Patent number: 6436304
    Abstract: A plasma processing method using helicon wave excited plasma which makes it possible to control a degree of dissociation for a process gas by controlling the source power. In the plasma processing method using helicon wave excited plasma, the source power applied to the plasma generator is set lower than a source power corresponding to a discontinuous change of a characteristic line indicating the dependency of electron density or saturated ion current density on source power.
    Type: Grant
    Filed: February 5, 1997
    Date of Patent: August 20, 2002
    Assignee: Anelva Corporation
    Inventor: Hiroshi Nogami
  • Publication number: 20020069971
    Abstract: A plasma processing apparatus and a plasma processing method are provided. The plasma processing apparatus and a plasma processing method are capable of easily performing precise working of a fine pattern to a large sized sample having a diameter of 300 mm or larger, and also capable of improving a selectivity during micro processing.
    Type: Application
    Filed: January 23, 2002
    Publication date: June 13, 2002
    Inventors: Tetsunori Kaji, Shinichi Tachi, Toru Otsubo, Katsuya Watanabe, Katsuhiko Mitani, Junichi Tanaka
  • Patent number: 6402974
    Abstract: In accordance with the present invention, during a polysilicon etch back, a controlled amount of oxygen (O2) is added to the plasma generation feed gases, to reduce pitting of the etched back polysilicon surface. The plasma etchant is generated from a plasma source gas comprising: (i) at least one fluorine-containing gas, and (ii) oxygen. The invention may be practiced in any of a number of apparatus adapted to expose polysilicon to a plasma etchant. One preferred apparatus is a decoupled plasma source (DPS™, Applied Materials, Santa Clara, Calif.) etching system. Another preferred apparatus is a magnetically enhanced plasma (MXP™, Applied Materials, Santa Clara, Calif.) etching system.
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: June 11, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Jitske Trevor, Shashank Deshmukh, Jeff Chinn
  • Patent number: 6383403
    Abstract: A substrate to be etched is subjected to dry etching by using a dry etching gas containing a perfluorocycloolefin while a plasma with a high density region of at least 1010/cm3 is generated. As the perfluorocycloolefin, those having 3 to 8 carbon atoms, especially 4 to 6 carbon atoms are preferably used.
    Type: Grant
    Filed: April 30, 1999
    Date of Patent: May 7, 2002
    Assignees: Japan as represented by the Director General of the Agency of Industrial Science and Technology, The Mechanical Social Systems Foundation, Electronic Industries Association of Japan, Nippon Zeon Co., Ltd.
    Inventors: Akira Sekiya, Toshiro Yamada, Kuniaki Goto, Tetsuya Takagaki
  • Patent number: 6375860
    Abstract: The occurrence of internally-formed contaminants or negatively-charged particulates within a plasma is minimized by preventing such from becoming trapped in the plasma. The plasma is formed in a plasma chamber having control electrodes and reference electrodes. The control electrodes are biased with a negative potential. The plasma assumes a potential more positive than the control electrodes. The reference electrodes are then biased to be more positive than the plasma. Hence, negative ions or negatively-charged particulates in the plasma are attracted to the more positive reference electrodes, and thus escape the plasma without being trapped therein, and are not available to serve as nucleation or agglomeration points for contaminants. A pair of Helmholtz coils produce a magnetic field having magnetic field lines that run longitudinally between the control electrodes.
    Type: Grant
    Filed: March 10, 1995
    Date of Patent: April 23, 2002
    Assignee: General Atomics
    Inventors: Tihiro Ohkawa, Stanley I. Tsunoda
  • Patent number: 6376388
    Abstract: A method of manufacturing a semiconductor device having an insulated gate type field effect transistor. A gate insulating film, a gate electrode layer having a predetermined area and facing the semiconductor substrate with the gate insulating film being interposed therebetween, an interlayer insulating film, and a wiring layer connected to the gate electrode layer, are formed on a semiconductor substrate in the order recited. A conductive material layer and a resist layer are formed on the wiring layer. The resist layer is patterned to form a resist mask forming a wiring pattern having an antenna ratio of about ten times or more of the predetermined area of the gate electrode layer. At least the conductive material layer is plasma-etched by using the resist mask as an etching mask, and thereafter, the resist mask is removed and the wiring layer is plasma-etched.
    Type: Grant
    Filed: January 22, 1997
    Date of Patent: April 23, 2002
    Assignee: Fujitsu Limited
    Inventors: Koichi Hashimoto, Daisuke Matsunaga, Masaaki Aoyama
  • Patent number: 6350701
    Abstract: A small, light-weight and highly maintainable etching system and an etching method for etching a large substrate with a homogeneous etching rate are provided. The etching system comprises an agitating electric field system disposed around the substrate, an agitating power source of high frequency, medium frequency or low frequency, agitating electrodes, amplifiers and a phase controller to agitate electrons or ions to increase the etching speed and the uniformity of the etching rate by promoting activation of reactive gas and uniformalizing a plasma density.
    Type: Grant
    Filed: September 29, 1999
    Date of Patent: February 26, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventor: Shunpei Yamazaki
  • Publication number: 20010024679
    Abstract: A process for removing and/or dry etching noble metal-based material structures, e.g., iridium for electrode formation for a microelectronic device. Etch species are provided by plasma formation involving energization of one or more halogenated organic and/or inorganic substance, and the etchant medium including such etch species and oxidizing gas is contacted with the noble metal-based material under etching conditions. The plasma formation and the contacting of the plasma with the noble metal-based material can be carried out in a downstream microwave processing system to provide processing suitable for high-rate fabrication of microelectronic devices and precursor structures in which the noble metal forms an electrode, or other conductive element or feature of the product article.
    Type: Application
    Filed: June 5, 2001
    Publication date: September 27, 2001
    Applicant: Advanced Technology Materials Inc.
    Inventors: Thomas H. Baum, Phillip Chen, Frank DiMeo, Peter C. Van Buskirk, Peter S. Kirlin
  • Patent number: 6248252
    Abstract: Methods of fabricating interconnects of aluminum and aluminum alloys are provided. In one aspect, a method is provided for fabricating an interconnect of aluminum-containing material on a surface. A layer of aluminum-containing material is deposited on the surface. The layer of aluminum-containing material is masked with selected portions thereof left exposed. A first etch of the exposed portions is performed in a plasma ambient containing BCl3, Cl2, N2 and CF4 to establish a plurality of trenches having inwardly sloping sidewalls. An overetch of the exposed portions is performed to the surface in a plasma ambient. High aspect ratio lines may be formed with sloped sidewalls that facilitate subsequent interlevel dielectric formation.
    Type: Grant
    Filed: February 24, 1999
    Date of Patent: June 19, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thien T. Nguyen, Mark I. Gardner
  • Publication number: 20010003272
    Abstract: For increasing the rate with which a workpiece is treated in a plasma enhanced chemical vapor deposition method and thereby lowering for coating treatment exposure of the coating to ion impact, there is maintained a non-vanishing dust particle density along the surface to be treated with a predetermined density distribution along this surface. The density distribution may be controlled by appropriately applying a field of force substantially in parallelism to the surface to be treated and acting on the dust particles entrapped in the plasma discharge.
    Type: Application
    Filed: August 24, 1999
    Publication date: June 14, 2001
    Applicant: UNAXIS BALZERS AKTIENGESELLSCHAFT
    Inventors: JACQUES SCHMITT, PAUL-RENE MURALT
  • Patent number: 6228229
    Abstract: A method and apparatus for generating a plasma by inductively coupling electromagnetic energy into the plasma. In one embodiment, first and second antenna coils are disposed about the circumference of the plasma containment area. The first and second antenna coils are relatively spaced along the longitudinal axis of the plasma containment area. A current is generated in the first and second antenna coils. A phase shift regulating network establishes a difference between the phase of the current in the first antenna and the phase of the current in the second antenna. The phase difference corresponds to the phase difference required to launch a helicon wave in the plasma. In a second embodiment, a chamber shield is made of a conductive material and is coupled to the RF source such that the shield functions as an RF antenna. The shield may be coupled in series to a coil surrounding the shield to increase the resultant flux density.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: May 8, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Ivo J. Raaijmakers, Bradley O. Stimson, John Forster
  • Patent number: 6210595
    Abstract: A method for producing structures having a high aspect ratio includes the following steps: a material of the structure to be produced is provided in the form of a layer, a mask is applied to the layer, the layer is subjected to dry etching using the mask, thereby forming redepositions of the layer material on side walls of the mask and the mask is removed, so that a structure having a high aspect ratio is left behind. The method enables very high (≧1 &mgr;m) and very thin (≦50 nm) structures to be produced in a relatively simple and rapid manner in only very few process steps and with only one mask technique. Structures having such large aspect ratios, particularly when they are composed of a conductive material, cannot be produced, or can be produced only with a high outlay, by using other methods.
    Type: Grant
    Filed: September 8, 1998
    Date of Patent: April 3, 2001
    Assignee: Infineon Technologies AG
    Inventors: Volker Weinrich, Manfred Engelhardt
  • Patent number: 6146542
    Abstract: A dry etching method of a multilayer film for a semiconductor device includes a first step for etching a metallic layer or a metallic silicide layer by use of a compound gas plasma mixed by a first gas including at least two of O.sub.2, N.sub.2, CO, a second gas including fluorine, a third gas including chlorine, and a fourth gas including bromine, a second step for processing an entire structure formed on the semiconductor substrate by use of a fluorine gas plasma including carbon, and a third step for etching the polysilicon layer by use of a gas plasma including chlorine. The dry etching method prevents an undercut generation along the sidewalls as etching targets, as well as residues remaining in the substrate, thereby improving a reliability of the semiconductor device. The method omits an additional refining process, thereby decreasing a fabrication time of the semiconductor device, improving productivity and realizing cost reduction of the semiconductor device.
    Type: Grant
    Filed: May 4, 1998
    Date of Patent: November 14, 2000
    Assignee: Hyundia Electronics Industries Co., Ltd.
    Inventors: Jae-Hee Ha, Sung-Hun Chi
  • Patent number: 6132632
    Abstract: A method and apparatus for achieving etch rate uniformity in a reactive ion etcher. The reactive ion etcher generates a plasma within a vacuum chamber for etching a substrate disposed at a cathode of a reactor can within the chamber wherein the plasma emanates from a top plate of the reactor can, and is influenced by localized magnetic fields for locally controlling etch rates across the cathode to produce a uniform etch rate distribution across the cathode as a result of the localized magnetic field. The magnet array may be disposed between the top plate and the vacuum chamber for providing the localized magnetic fields. The magnet array includes a plurality of individual magnets and a grid plate for holding the individual magnets in position.
    Type: Grant
    Filed: September 11, 1997
    Date of Patent: October 17, 2000
    Assignee: International Business Machines Corporation
    Inventors: David Emery Haney, Robert James Huber, Cherngye Hwang, Diana Perez, John Wesley Williams
  • Patent number: 6077787
    Abstract: A method for selective controlled etching of a material particularly by sequentially switching between two (2) or more modes of radiofrequency waves and/or by distance from a source of the microwaves. The modes and/or distance are selected depending upon the surface of the material to be etched. The etching is rapidly conducted at 0.5 mtorr to 10 torr, preferably using microwave plasma etching.
    Type: Grant
    Filed: September 25, 1995
    Date of Patent: June 20, 2000
    Assignees: Board of Trustees operating Michigan State University, Saint-Gobain/Norton Industrial Ceramics Corporation
    Inventors: Donnie K. Reinhard, Rabindra N. Chakraborty, Jes Asmussen, Paul D. Goldman
  • Patent number: 6062237
    Abstract: A process for producing a strip removes photoresist and extraneous deposits of polymer residue on the top surface and sidewalls of a post-metal etch wafer. The photoresist and residue are processed simultaneously by a chemical mechanism comprising reactive species derived from a microwave-excited fluorine-containing downstream gas, and a physical mechanism comprising ion bombardment that results from a radio frequency excited plasma and accompanying wafer self bias. A vacuum pump draws stripped photoresist and residues from the surface of the wafer and exhausts them from the chamber.
    Type: Grant
    Filed: April 14, 1998
    Date of Patent: May 16, 2000
    Assignee: Applied Materials, Inc.
    Inventors: William Brown, Harald Herchen, Walter Merry, Michael Welch
  • Patent number: 6054063
    Abstract: The high-frequency electric field is subjected to pulse modulation for 10 to 100 .mu.sec; the rise time of pulse is controlled to be not shorter than 2 .mu.sec but not longer than 50 .mu.sec; and the descent time of pulse is controlled to be not shorter than 10 .mu.sec but not longer than .phi..mu.sec. Thereby, the electron temperature in plasma is controlled at 2 eV or lower and the fluctuation of the density of negative ion in plasma is controlled at 20% or smaller.
    Type: Grant
    Filed: June 22, 1998
    Date of Patent: April 25, 2000
    Assignee: NEC Corporation
    Inventors: Hiroto Ohtake, Seiji Samukawa
  • Patent number: 6022803
    Abstract: In a fabrication method of a semiconductor apparatus, the semiconductor apparatus is made with a selective gold plating process rather than an ion-milling process. A tungsten film (W film) as a current supplying layer is formed on the entire front surface of an insulation film. The insulation film is formed on a GaAs substrate on which devices such as FETs are formed. With a mask of a photoresist film, a titanium (Ti) film, a platinum (Pt) film, and a gold (Au) film are successively evaporated and then lift-off process is performed. A photoresist film is patterned. A gold plate film with a thickness of 8 .mu.m is formed. The current supplying layer is removed by magnetron discharge plasma ion-etching process. Thick U-shaped gold plate lines are formed.
    Type: Grant
    Filed: February 26, 1997
    Date of Patent: February 8, 2000
    Assignee: NEC Corporation
    Inventor: Kiyoshi Takahashi
  • Patent number: 6022460
    Abstract: An enhanced inductively coupled plasma reactor which comprises; a chamber; a power supply for providing radio-frequencies necessary to generate plasma within the chamber; an antenna for producing electric fields and magnetic fields with a radio-frequency power from the power supply to generate plasma within the chamber; Helmholtz coils for shaking the plasma with intermittent modulation of a weak magnetic field to increase the density of the plasma and decrease the electron temperature and enhance the uniformity of the plasma, the Helmholtz coils consisting of two coils which are symmetrically arranged with a common axis, winding around the chamber at an upper position and a lower position, respectively, the weak magnetic field being produced by providing a combination of a direct current and an alternating current to the Helmholtz coils; a wafer stage and support; a bias RF power supply for controlling ion energies, connected to the wafer stage; and a matching box for optimally controlling and transferring t
    Type: Grant
    Filed: March 22, 1999
    Date of Patent: February 8, 2000
    Assignee: Inha University Foundation
    Inventors: Beam-Hoan O, Se-Geun Park, Jae Seong Jeong, Chul ho Kim
  • Patent number: 5998986
    Abstract: A method for cleaning probes of a probe card used for testing semiconductor wafers and an apparatus for carrying out the cleaning method. Microwave energy is supplied to and a magnetic field is impressed on a reaction gas to generate a plasma; a magnetic field source generates a magnetic field, funneling and directing the plasma toward a zone opposite a probe card; a baffle funnels the plasma toward a zone where probes of the probe card are present; and a voltage source impresses controlled DC voltages onto the probes so that the energy of ions incident on the probes exceeds a threshold energy for sputtering aluminum but not a threshold energy for sputtering tungsten.
    Type: Grant
    Filed: June 6, 1997
    Date of Patent: December 7, 1999
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Yasuhiro Ido
  • Patent number: 5994236
    Abstract: The present invention uses the placement of ferromagnetic cores to improve the nonuniformity of plasma processing and to increase the energy transfer efficiency of plasma sources which couple energy to a plasma through the use of radio frequency current flowing through a coil adjacent to a dielectric window. In addition, eddy current conductive elements can be used either alone or in combination with ferromagnetic cores to increase the nonuniformity adjustment range.
    Type: Grant
    Filed: January 23, 1998
    Date of Patent: November 30, 1999
    Inventor: John Seldon Ogle
  • Patent number: 5985091
    Abstract: A microwave plasma processing apparatus comprises a plasma generation chamber, a processing chamber communicating with the plasma generation chamber, supporting of a substrate to be processed arranged in the processing chamber, a circular waveguide with slots arranged around the plasma generation chamber, and a magnetic field generation unit for generating a cusp magnetic field in the plasma generation chamber. A microwave plasma processing method using this apparatus is provided, to maintain a high-density and large-area uniform plasma, even at a low temperature, and even in a low-pressure region having a pressure of 1 mTorr.
    Type: Grant
    Filed: September 16, 1996
    Date of Patent: November 16, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventor: Nobumasa Suzuki
  • Patent number: 5975014
    Abstract: A method and apparatus employing a microwave applicator for use with an ECR plasma source for applications including etching and chemical vapor deposition is provided. A magnetic field is generated by magnets circumferentially arranged about a chamber that is symmetrical about its longitudinal axis. The microwave applicator, which comprises at least one pair of coaxial resonant multiport microwave antenna arrays, injects and distributes microwave power about a plasma forming portion of the chamber. The antenna arrays include a plurality of radiating stubs for radiating microwave power. The stubs are positioned along the arrays at predetermined intervals and selected orientations relative to a coaxial transmission line, for efficiently distributing microwave power uniformly about the plasma forming portion.
    Type: Grant
    Filed: January 12, 1998
    Date of Patent: November 2, 1999
    Assignee: ASM Japan K.K.
    Inventor: Raphael A. Dandl
  • Patent number: 5961850
    Abstract: A plasma processing apparatus and method controls the temperature of those portions in the processing chamber to which reaction products or gaseous reaction products generated during plasma processing adhere, thereby minimizing the generation of foreign matter and ensuring high yields. A plasma processing gas is supplied to the plasma generation chamber 10 whose pressure is maintained at a predetermined value. Provided in the plasma generation chamber are a specimen mount 11 on which to mount an object to be processed and an evacuation mechanism 16 that evacuates the plasma generation chamber.
    Type: Grant
    Filed: March 14, 1996
    Date of Patent: October 5, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Yoshiaki Satou, Tadamitsu Kanekiyo, katsuyoshi Kudo
  • Patent number: 5936352
    Abstract: A plasma processing apparatus includes a plasma chamber and an antenna formed by a first set of parallel antenna elements and a second set of parallel antenna elements, the antenna elements of the first set being interdigitally arranged with those of the second set. An energy source supplies oscillation energy of first phase to the first set of antenna elements and oscillation energy of second, opposite phase to the second set of antenna elements to produce oppositely moving energy fields in the chamber at such a frequency that electrons are confined in a plasma produced in the chamber.
    Type: Grant
    Filed: November 20, 1996
    Date of Patent: August 10, 1999
    Assignees: NEC Corporation, Nihon Koshua Co., Ltd., Anelva Corporation
    Inventors: Seiji Samukawa, Tsutomu Tsukada, Yukito Nakagawa, Kibatsu Shinohara, Hirofumi Matsumoto, Hiroyuki Ueyama
  • Patent number: 5906948
    Abstract: A method for etching dielectric layers is disclosed. A first etch of the dielectric layers is performed with a gas chemistry comprising C.sub.4 F.sub.8 flowing at about 10 sccm to about 25 sccm and CH.sub.3 F flowing at about 5 sccm to about 20 sccm. A second etch of the dielectric layers is performed with the gas chemistry and flow rates of gases which are about 10% to about 40% greater than the flow rates of gases in the first etch.
    Type: Grant
    Filed: April 17, 1998
    Date of Patent: May 25, 1999
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Hao-Chieh Liu, Erik S. Jeng
  • Patent number: 5900162
    Abstract: The present invention relates to a plasma etching method and apparatus, and more particularly to a plasma etching method and apparatus which are well suited for etching the samples of semiconductor device substrates, etc. In cooling a sample to a temperature not higher than 0.degree. C. which is a minimum temperature of water and subjecting the sample to an etching process with a gas plasma, an acceleration voltage which accelerates ions in the gas plasma toward the sample is repeatedly changed, whereby in a process based on low-temperature etching, an etching process producing no residue, being anisotropic and being highly selective is realized.
    Type: Grant
    Filed: July 26, 1991
    Date of Patent: May 4, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Hironobu Kawahara, Yoshinao Kawasaki, Yoshiaki Sato, Ryooji Fukuyama
  • Patent number: 5888414
    Abstract: A plasma reactor chamber uses an antenna driven by RF energy (LF, MF, or VHF) which is inductively coupled inside the reactor dome. The antenna generates a high density, low energy plasma inside the chamber for etching oxygen-containing layers overlying non-oxygen-containing layers with high selectivity. Auxiliary RF bias energy applied to the wafer support cathode controls the cathode sheath voltage and controls the ion energy independent of density. Various magnetic and voltage processing enhancement techniques are disclosed, along with other etch processes, deposition processes and combined etch/deposition processes. The disclosed invention provides processing of sensitive devices without damage and without microloading, thus providing increased yields. Etching of an oxygen-containing layer overlying a non-oxygen-containing layer can be achieved with high selectivity.
    Type: Grant
    Filed: September 24, 1997
    Date of Patent: March 30, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Chan-Lon Yang, Jerry Yuen-Kui Wong, Jeffrey Marks, Peter R. Keswick, David W. Groechel
  • Patent number: 5880034
    Abstract: Uniformity of plasma density and potential are increased by reducing plasma confinement through use of a non-uniform, graded magnetic field by asymmetric energization of electromagnets with a waveform including harmonics of a fundamental frequency. The magnetic field strength or intensity decreases in the direction of ExB drift of energetic electrons within the plasma which tends to cause additional ionization in the plasma and a gradient of plasma density and potential. Thus, increase in ionization due to ExB drift is balanced by reduction of plasma confinement. Uniformity of average exposure to the plasma is further increased by rotation of the magnetic field. Uniformity of plasma potential or wafer bias is further improved by modulation of the radio frequency (RF) power used to form the plasma in synchronism with decreases in the magnetic field during switching for magnetic field rotation.
    Type: Grant
    Filed: April 29, 1997
    Date of Patent: March 9, 1999
    Assignee: Princeton University
    Inventor: John H. Keller
  • Patent number: 5874012
    Abstract: A plasma processing apparatus is provided. In the apparatus, an inside surface of a process chamber is prevented from having its quality varied or becoming a heavy metal contamination source by plasma in the chamber, and at the same time the plasma characteristic is stabilized over time. In a plasma processing apparatus including a plasma generating unit, a process chamber capable of having its inside pressure reduced, a gas supply system for supplying a gas to the process chamber, a sample table for holding a sample and a vacuum pumping system, the process chamber has an outer cylinder capable of withstanding depressurization and an inner cylinder arranged inside the outer cylinder and being spaced therefrom through a gap, and a heater and a temperature control are provided in the outer cylinder. A non-magnetic metallic material not containing heavy metals, or ceramic, carbon, silicon or quartz is used for the inner cylinder.
    Type: Grant
    Filed: March 8, 1996
    Date of Patent: February 23, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Saburo Kanai, Kazue Takahashi, Kouichi Okamura, Ryoji Hamasaki, Satoshi Ito
  • Patent number: 5874013
    Abstract: To realize etching with a high selection ratio and a high accuracy in fabrication of an LSI, the composition of dissociated species of a reaction gas is accurately controlled when dry-etching a thin film on a semiconductor substrate by causing an inert gas excited to a metastable state in a plasma and a flon gas to interact with each other, and selectively obtaining desired dissociated species.
    Type: Grant
    Filed: May 15, 1997
    Date of Patent: February 23, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Takafumi Tokunaga, Sadayuki Okudaira, Tatsumi Mizutani, Kazutami Tago, Hideyuki Kazumi, Ken Yoshioka
  • Patent number: 5858259
    Abstract: A plasma processing apparatus and method is equipped with a vacuum chamber, helmholtz coils, a microwave generator and gas feeding systems. An auxiliary magnet is further provided in order to strengthen the magnetic field in the vacuum chamber to produce centrifugal drifting force which confine the plasma gas about the center position of the vacuum chamber.
    Type: Grant
    Filed: July 14, 1997
    Date of Patent: January 12, 1999
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Naoki Hirose, Takashi Inujima, Toru Takayama
  • Patent number: 5849640
    Abstract: A method is disclosed for improved planarization and deposition of intermetal dielectric layers in semiconductor substrates. More specifically, the method involves the performance of specific process steps in-situ. That is, unlike in prior art, starting with cured spin-on-glass (SOG), the steps of SOG etchback and deposition of the intermetal dielectric PECVD, all take place sequentially in the same chamber and without a vacuum break. If not in the same chamber, then in the same load lock system. In this manner, it is shown that no longer does the SOG layer delaminate from the oxide layer. Furthermore, because the system is not exposed to moisture due to the absence of vacuum break, there is no adverse reaction when metal is deposited into the via holes.
    Type: Grant
    Filed: April 1, 1996
    Date of Patent: December 15, 1998
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Shaw-Tzeng Hsia, Ching-Ying Lee, Chih-Cheng Liao
  • Patent number: 5830807
    Abstract: A laminated structure formed by alternately laminating a silicon film and a silicon oxide film is successively etched in the same chamber. Two groups are selected from groups A, B, and C, the group A including NF.sub.3, CF.sub.4, and SF.sub.6, the group B including CO, CHF.sub.3, CH.sub.2 F.sub.2, C.sub.2 F.sub.6, C.sub.3 F.sub.8, and C.sub.4 F.sub.8, and the group C including Cl.sub.2, HBr, HCl and Br.sub.2. The laminated structure is etched by successively etching one of the silicon film and the silicon oxide film by a combination of gases having a first mixture ratio and the other by the combination of gases having a second mixture ratio different from the first mixture ratio, the combination of gases including at least one kind of gas selected from one group of the selected two groups and at least one kind of gas selected from the other group. A technology of manufacturing a semiconductor device is provided which can etch an alternate laminate efficiently with a simple system.
    Type: Grant
    Filed: May 30, 1997
    Date of Patent: November 3, 1998
    Assignee: Fujitsu Limited
    Inventors: Daisuke Matsunaga, Kazuo Hashimi, Genichi Komuro
  • Patent number: 5824607
    Abstract: A plasma reactor chamber uses an antenna driven by RF energy (LF, MF, or VHF) which is inductively coupled inside the reactor dome to provide a plasma source. The antenna generates a high density, low energy plasma inside the chamber. The chamber includes a plurality of magnets for generating magnetic fields. Ion flux is concentrated in certain areas of the chamber and is diverted from other areas of the chamber by using these magnetic fields. Various magnetic and voltage processing enhancement techniques are disclosed, along with etch processes, deposition processes and combined etch/deposition processes. The disclosed invention provides a means of cleaning the deposition residues from the reactor walls while minimizing damage to the wafer pedestal.
    Type: Grant
    Filed: February 6, 1997
    Date of Patent: October 20, 1998
    Assignee: Applied Materials, Inc.
    Inventors: John Trow, Tetsuya Ishikawa
  • Patent number: 5785807
    Abstract: The present invention relates to a microwave plasma processing method and apparatus. More particularly, it relates to a microwave plasma processing method and apparatus of the type wherein a waveguide section includes electric discharge means isolated from a waveguide for the propagation of microwaves and having a plasma generation region therein, which method and apparatus are well suited for subjecting samples, such as semiconductor device substrates, to an etching process, a film forming process, etc. According to the present invention, the microwaves are introduced into the electric discharge means in correspondence with only the traveling direction thereof, whereby uniformity in a plasma density distribution corresponding to the surface to-be-processed of the sample can be sharply enhanced, so that the sample processed by utilizing such plasma can attain an enhanced processing homogeneity within the surface to-be-processed.
    Type: Grant
    Filed: September 26, 1991
    Date of Patent: July 28, 1998
    Assignee: Hitachi, Ltd.
    Inventors: Saburo Kanai, Yoshinao Kawasaki, Kazuaki Ichihashi, Seiichi Watanabe, Makoto Nawata
  • Patent number: 5779925
    Abstract: A method of manufacturing a semiconductor device including the steps of: (a) transporting a semiconductor wafer into a plasma process system, the semiconductor wafer having a semiconductor layer, a field insulating film and a gate insulating film formed on the semiconductor layer, said gate insulating film having a breakdown voltage of B (V) and a thickness of 10 nm or thinner, a conductive layer of a structured antenna formed on the gate insulating film and the field insulating film, the conductive layer having an antenna ratio of 500 or higher, and an insulating material pattern formed on the conductive layer, the insulating material pattern having an opening with an aspect ratio larger than 1; and (b) processing the semiconductor wafer in plasma having an electron temperature of Te (eV) equal to or less than B. With this method, it is possible to prevent damages to a gate insulating film even during a fine pattern process.
    Type: Grant
    Filed: October 13, 1995
    Date of Patent: July 14, 1998
    Assignee: Fujitsu Limited
    Inventors: Koichi Hashimoto, Takeshi Kamata, Yukinobu Hikosaka, Akihiro Hasegawa
  • Patent number: 5762814
    Abstract: A plasma processing apparatus includes a plasma processing chamber having a stage for placing a substrate to be plasma processed, an exhaust port and a gas introduction nozzle for plasma processing coupled therewith, and a cavity resonator for closing the plasma processing chamber in vacuum manner and coupled through a microwave introducing window through which microwaves are introduced and having slots for radiating microwaves to the plasma processing chamber. Microwaves having increased intensity of an electromagnetic field is supplied to the processing chamber to produce plasma to effect processing of the substrate. An area in which diffusion of plasma is suppressed to reduce loss is formed only in the vicinity of an inner wall of the processing chamber.
    Type: Grant
    Filed: February 15, 1994
    Date of Patent: June 9, 1998
    Assignee: Hitachi, Ltd.
    Inventors: Kazuhiro Ohara, Toru Otsubo, Ichirou Sasaki
  • Patent number: 5738752
    Abstract: A plasma etching system capable of preventing ion incident image and satisfying a high anisotropy and a high etching rate and a method using the same. A stage contains a high permeability material layer and it has a potential directly dropped to ground level, and magnetic lines of a magnetic field diffused from a plasma generation source are rendered incident on the stage in the direction substantially perpendicular thereto. This allows negative ions as well as positive ions in plasma to be incident on a substrate to be etched in the direction perpendicular thereto along the magnetic lines. As a result, since acceleration of ions by a sheath is not used it is possible to effectively prevent damage of the substrate.
    Type: Grant
    Filed: July 1, 1996
    Date of Patent: April 14, 1998
    Assignee: Sony Corporation
    Inventor: Shingo Kadomura
  • Patent number: 5733820
    Abstract: Silicon material layers formed on an oxide underlayer are attached using a plasma including a gas mixture of a halogen and oxygen. Intensities of first emissions from the plasma at a first wavelength and second emissions from the plasma at a second wavelength are measured. A ratio of the first emissions intensity to the second emissions intensity is determined. The selectivity of silicon layers to oxide underlayers is measured for various conditions of the plasma under which the emissions intensity ratio is obtained. A correlation between the emissions intensity ratio and the selectivity is then established for various etching parameters. A plasma condition to obtain a desired selectivity may then be appropriately set using the established correlation.
    Type: Grant
    Filed: April 24, 1996
    Date of Patent: March 31, 1998
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kouichiro Adachi, Satoshi Morishita, Kazuo Sugimoto
  • Patent number: 5707486
    Abstract: A plasma reactor preferably uses a split electrode which surrounds a plasma dome region of the reactor, is driven by high frequency energy selected from VHF and UHF and produces an electric field inside the electrode, parallel to the wafer support electrode. A static axial magnetic field may be used which is perpendicular to the electric field. The above apparatus generates a high density, low energy plasma inside a vacuum chamber for etching metals, dielectrics and semiconductor materials. Relatively lower frequency, preferably RF frequency, auxiliary bias energy applied to the wafer support cathode controls the cathode sheath voltage and controls the ion energy independent of density. Various etch processes, deposition processes and combined etch/deposition processes (for example, sputter/facet deposition) are disclosed. The triode (VHF/UHF split electrode plus RF wafer support electrode) provides processing of sensitive devices without damage and without microloading, thus providing increased yields.
    Type: Grant
    Filed: July 16, 1996
    Date of Patent: January 13, 1998
    Assignee: Applied Materials, Inc.
    Inventor: Kenneth S. Collins
  • Patent number: 5681424
    Abstract: A method of cleaning an etching chamber, with a high throughput, of a plasma processing apparatus for etching by use of hydrogen bromide (HBr) as an etching gas while holding a wafer on an electrode by electrostatic chuck. When the static charge on the wafer electrostatically chucked on the electrode is eliminated after the completion of the etching, O.sub.2 gas is introduced into the etching chamber from a gas flow-rate controller. A plasma of O.sub.2 gas is generated to cause the electric charge on the wafer to flow to the earth through the plasma, and at the same time, the interior of the etching chamber is cleaned.
    Type: Grant
    Filed: February 20, 1996
    Date of Patent: October 28, 1997
    Assignee: Hitachi, Ltd.
    Inventors: Go Saito, Motohiko Yoshigai, Kenji Fujimoto
  • Patent number: 5667630
    Abstract: A method for forming metal patterns through use of a multi-step magnetically assisted reactive ion etch plasma process. A metal layer is formed upon a semiconductor substrate. The metal layer is patterned with a photoresist composition which leaves exposed those regions of metal to be removed. The exposed metal is removed through a multi-step magnetically assisted reactive ion etch process. The first etch step is a primary metal etch at elevated levels of radio frequency power and magnetic field strength. The last etch step is a secondary metal over-etch step at lower levels of radio frequency power and magnetic field strength. Intermediate to the first etch step and last etch step are a multiplicity of etch process steps where the radio frequency power and magnetic field strength are independently and sequentially reduced.
    Type: Grant
    Filed: April 28, 1995
    Date of Patent: September 16, 1997
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: Jui-Cheng Lo
  • Patent number: 5662819
    Abstract: Controlling ion/radical ratio and monoatomic/polyatomic radical ratio in a process plasma provides improved processing performance during inductively-coupled plasma and/or helicon wave plasma processing of substrate materials. In a plasma processing method employing inductively coupled plasma, high frequency current to a high frequency antenna is intermittently supplied in a controlled manner to control the state of gas dissociation to promote formation of polyatomic radicals. In a plasma processing method employing helicon wave plasma, current supplied to a magnetic field generator is intermittently supplied in a controlled manner to promote formation of ions. In a preferred method both the high frequency current and magnetic field generating current are varied in a controlled manner to provide a variable plasma composition, i.e., radical rich plasma or ion-rich plasma, as desired, for improved plasma processing especially improved selective anisotropic dry etching at high etch rate.
    Type: Grant
    Filed: February 3, 1995
    Date of Patent: September 2, 1997
    Assignee: Sony Corporation
    Inventor: Shingo Kadomura
  • Patent number: 5658440
    Abstract: A process called surface image transfer etching (SITE) is used to etch patterned photoresist so as to more completely transfer a well-defined pattern formed in the top surface (10a) of a material to the bulk of the material (12). The process uses no mask, but employs only a sputter etching process where the etching rates of surfaces not normal to the ion trajectories are greatly enhanced over the etching rates of surfaces normal to the ion trajectories.
    Type: Grant
    Filed: November 6, 1995
    Date of Patent: August 19, 1997
    Assignee: Advanced Micro Devices Incorporated
    Inventors: Michael K. Templeton, Subhash Gupta
  • Patent number: 5645897
    Abstract: The invention concerns a process and a device for surface-modification by physico-chemical reactions with the following steps: a) contacting a solid surface having a crystalline or amorphous structure with a reactive, gaseous fluid (gas, gas mixture, vapour or vapour mixture) which is to interact with the surface; (b) supplying activating energy to the contact area between fluid and surface by means of ions or plasmas, in order to trigger reactions between said partners. In order to improve such a process and device, the activating energy is supplied as ions having at least a double charge and low kinetic energy or plasma streams with a sufficient proportion of ions having at least a double charge and low kinetic energy. The kinetic energy imparted to the ions is selected so that it allows the ions to closely approach the surface atoms but no to enter the surface.
    Type: Grant
    Filed: January 18, 1995
    Date of Patent: July 8, 1997
    Inventor: Jurgen Andra
  • Patent number: 5643639
    Abstract: A method and apparatus for generating plasmas adapted for chemical vapor deposition, etching and other operations, and in particular to the deposition of large-area diamond films, wherein a chamber defined by sidewalls surrounding a longitudinal axis is encircled by an axially-extending array of current-carrying conductors that are substantially transverse to the longitudinal axis of the chamber, and a gaseous material is provided in the chamber. A high-frequency current is produced in the conductors to magnetically induce ionization of the gaseous material in the chamber and form a plasma sheath that surrounds and extends along the longitudinal axis and conforms to the sidewalls of the chamber. A work surface extending in the direction of the longitudinal axis of the chamber is positioned adjacent a sidewall, exposed to the plasma sheath and treated by the plasma.
    Type: Grant
    Filed: December 22, 1994
    Date of Patent: July 1, 1997
    Assignee: Research Triangle Institute
    Inventors: Ronald Alan Rudder, Robert Carlisle Hendry, George Carlton Hudson
  • Patent number: 5622635
    Abstract: A method of gas plasma treating a workpiece in a process chamber having RF coil outside the chamber, a flat dielectric window, and a electrically conducting shield, adapted to be located between the RF coil and the dielectric window. The shield comprises a planar body section having a periphery, central opening, and outer gaps forming a substantially continuous opening about the periphery. A uniform magnetic field, inductively coupled with the plasma, is formed by routing the flux lines of the magnetic field through the central opening and outer gaps of the shield. Contamination from sputtering is substantially eliminated by reducing the capacitive electric fields generated by the coil that interfere with the inductive coupling between the coil and the gas plasma.
    Type: Grant
    Filed: April 5, 1995
    Date of Patent: April 22, 1997
    Assignee: International Business Machines Corporation
    Inventors: Jerome J. Cuomo, C. Richard Guarnieri, Jeffrey A. Hopwood
  • Patent number: 5620559
    Abstract: A method of manufacturing a semiconductor device. The method includes the application of a gas containing HF vapor and H.sub.2 O or alcohol vapor to a substrate. An excitation energy is applied to a flow of gas containing hydrogen to generate a plasma. Gas containing nitrogen fluoride is added to the gas containing hydrogen at a first position which is downstream from the place where the plasma is generated and at which the concentration of high energy particles in the gas containing hydrogen is negligible. The HF treated substrate is exposed to the gas containing nitrogen fluoride at a second position which is further downstream than the first position where the nitrogen fluoride is added.
    Type: Grant
    Filed: March 15, 1995
    Date of Patent: April 15, 1997
    Assignee: Fujitsu Limited
    Inventor: Jun Kikuchi
  • Patent number: 5614055
    Abstract: In one aspect, the invention is embodied in an RF inductively coupled plasma reactor including a vacuum chamber for processing a wafer, one or more gas sources for introducing into the chamber reactant gases, and an antenna capable of radiating RF energy into the chamber to generate a plasma therein by inductive coupling, the antenna lying in a two-dimensionally curved surface. In another aspect, invention is embodied in a plasma reactor including apparatus for spraying a reactant gas at a supersonic velocity toward the portion of the chamber overlying the wafer. In a still further aspect, the invention is embodied in a plasma reactor including a planar spray showerhead for spraying a reactant gas into the portion of the chamber overlying the wafer with plural spray nozzle openings facing the wafer, and plural magnets in an interior portion of the planar spray nozzle between adjacent ones of the plural nozzle openings, the plural magnets being oriented so as to repel ions from the spray nozzle openings.
    Type: Grant
    Filed: August 27, 1993
    Date of Patent: March 25, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Kevin Fairbairn, Romuald Nowak