Etchant Contains Solid Particle (e.g., Abrasive For Polishing, Etc.) Patents (Class 216/89)
  • Patent number: 11740566
    Abstract: A substrate with a backside surface configured to provide a friction switch when the substrate is loaded onto a substrate holder in a substrate-loading cycle, wherein the substrate backside surface has a molecular assembly including at least one high-interaction region and at least one low-interaction region. Further, there is provided methods using such a substrate and methods for creating such a substrate.
    Type: Grant
    Filed: December 16, 2020
    Date of Patent: August 29, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Paulus Albertus Van Hal, Adrianus Hendrik Koevoets, Beatriz Seoane De La Cuesta
  • Patent number: 11718767
    Abstract: Polishing compositions comprising ceria coated silica particles and organic acids having one selected from the group consisting of sulfonic acid group, phosphonic acid group, pyridine compound, and combinations thereof, with pH between 5 and 10 and electrical conductivity between 0.2 and 10 millisiemens per centimeter provide very high silicon oxide removal rates for advanced semiconductor device manufacturing.
    Type: Grant
    Filed: August 6, 2019
    Date of Patent: August 8, 2023
    Assignee: Versum Materials US, LLC
    Inventors: Ming-Shih Tsai, Chia-Chien Lee, Rung-Je Yang, Anu Mallikarjunan, Chris Keh-Yeuan Li, Hongjun Zhou, Joseph D. Rose, Xiaobo Shi
  • Patent number: 11549034
    Abstract: The present invention provides Chemical Mechanical Planarization Polishing (CMP) compositions for Shallow Trench Isolation (STI) applications. The CMP compositions contain ceria coated inorganic metal oxide particles as abrasives, such as ceria-coated silica particles; chemical additive selected from the first group of non-ionic organic molecules multi hydroxyl functional groups in the same molecule; chemical additives selected from the second group of aromatic organic molecules with sulfonic acid group or sulfonate salt functional groups and combinations thereof; water soluble solvent; and optionally biocide and pH adjuster; wherein the composition has a pH of 2 to 12, preferably 3 to 10, and more preferably 4 to 9.
    Type: Grant
    Filed: August 6, 2019
    Date of Patent: January 10, 2023
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Xiaobo Shi, Krishna P. Murella, Joseph D. Rose, Hongjun Zhou, Mark Leonard O'Neill
  • Patent number: 11279852
    Abstract: Described herein are chemical mechanical polishing (CMP) slurry compositions, such as CMP slurry compositions for polishing an indium tin oxide (ITO) layer, along with methods of fabricating a semiconductor device using such a CMP slurry composition. The CMP slurry composition can include a polishing particle, a dispersing agent, an auxiliary oxidizing agent, and a sugar alcohol compound.
    Type: Grant
    Filed: June 25, 2020
    Date of Patent: March 22, 2022
    Inventors: Eunsung Seo, Chang Gil Kwon, Sung Pyo Lee, Dongchan Kim, Bo Yun Kim, Jun Ha Hwang
  • Patent number: 11214718
    Abstract: An abrasive particle having a body and a coating overlying the body, the coating including an amorphous material and at least one filler contained within the amorphous material. The abrasive particle may be included in a fixed abrasive article.
    Type: Grant
    Filed: December 28, 2017
    Date of Patent: January 4, 2022
    Assignee: SAINT-GOBAIN CERAMICS & PLASTICS, INC.
    Inventors: Pinxu Nie, Shuqiong Liang
  • Patent number: 11127583
    Abstract: A method of treating a semiconductor substrate includes converting a first main side of the semiconductor substrate having a first coefficient of static friction relative to a surface of a wafer table to a second coefficient of static friction relative to the surface of the wafer table, wherein the second coefficient of static friction is less than the first coefficient of static friction. A photoresist layer is applied over a second main side of the semiconductor substrate having the first coefficient of static friction. The second main side opposes the first main side. The semiconductor substrate is placed on the wafer table so that the first main side of the semiconductor substrate faces the wafer table.
    Type: Grant
    Filed: August 15, 2019
    Date of Patent: September 21, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chung-Hao Chang, Chitong Chen
  • Patent number: 11094838
    Abstract: The present disclosure relates to a method for preparing nano-textured surface on single side of a silicon wafer, including the following steps: (1) superimposing two silicon wafers to obtain a first silicon wafer superimposition structure; the side on which the silicon wafers is superimposed is recorded as an attached surface, and the side exposed outside is recorded as an exposed surface; and (2) performing nano-textured surface etching on the first silicon wafer superimposition structure; and providing each silicon wafer with nano-textured surface on the exposed surface and a nano-textured surface etched strip on the edge of the attached surface. In the present disclosure, while the nano-textured surface etching is performed, the edge of the attached surface is etched with nano-textured surface by selecting a specific etching rate, which reduces the pulling force for detaching the wafers and reduces the fragmentation rate during the detaching process.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: August 17, 2021
    Assignees: CSI CELLS CO., LTD., CSI SOLAR POWER GROUP CO., LTD.
    Inventors: Shuai Zou, Xiaoya Ye, Fang Cao, Xusheng Wang, Guoqiang Xing
  • Patent number: 10950440
    Abstract: The invention herein includes enhancing the surface of an amorphous silicon hardmask through implantation of nonpolar, hydrophobic elements, resulting in increased hydrophobicity and increased resist adhesion of the amorphous silicon surface. According to the invention, implanting the hydrophobic elements may involve introduction of the hydrophobic elements into the surface of the amorphous silicon by way of low energy implantation and plasma treatment. The implanted hydrophobic element may be Boron, Xenon, Fluorine, Phosphorus, a combination thereof, or other hydrophobic elements. According to the invention, the surface of the amorphous silicon is enhanced with 10-15% hydrophobic element, however in other embodiments, this composition may be adjusted as needed. In any case, however, the invention herein includes maintaining an etch selectivity of the bulk amorphous silicon hardmask.
    Type: Grant
    Filed: November 22, 2019
    Date of Patent: March 16, 2021
    Assignee: International Business Machines Corporation
    Inventors: Abraham Arceo de la Pena, Ekmini Anuja De Silva, Nelson Felix
  • Patent number: 10941069
    Abstract: A plate-like workpiece having a transparent, glass, glass-like, ceramic and/or crystalline layer, such as for use in an electronic display screen, is processed into separate segments by first incompletely severing the workpiece along outer contours of bounded segments, by forming holes through the layer with a laser beam, leaving the segments interconnected at narrow connections, and then separating the segments by severing the web-like connections.
    Type: Grant
    Filed: February 5, 2016
    Date of Patent: March 9, 2021
    Assignee: TRUMPF Laser- und Systemtechnik GmbH
    Inventor: Malte Kumkar
  • Patent number: 10755926
    Abstract: The invention herein includes enhancing the surface of an amorphous silicon hardmask through implantation of nonpolar, hydrophobic elements, resulting in increased hydrophobicity and increased resist adhesion of the amorphous silicon surface. According to the invention, implanting the hydrophobic elements may involve introduction of the hydrophobic elements into the surface of the amorphous silicon by way of low energy implantation and plasma treatment. The implanted hydrophobic element may be Boron, Xenon, Fluorine, Phosphorus, a combination thereof, or other hydrophobic elements. According to the invention, the surface of the amorphous silicon is enhanced with 10-15% hydrophobic element, however in other embodiments, this composition may be adjusted as needed. In any case, however, the invention herein includes maintaining an etch selectivity of the bulk amorphous silicon hardmask.
    Type: Grant
    Filed: November 20, 2017
    Date of Patent: August 25, 2020
    Assignee: International Business Machines Corporation
    Inventors: Abraham Arceo de la Pena, Ekmini Anuja De Silva, Nelson Felix
  • Patent number: 10711158
    Abstract: The present invention provides aqueous chemical mechanical planarization polishing (CMP polishing) compositions, such as for semiconductor substrates, comprising an abrasive of one or more dispersions of elongated, bent or nodular colloidal silica particles which contain a cationic nitrogen atom, and one or more amine carboxylic acids having an isoelectric point (pI) below 5, preferably, acidic amine carboxylic acids or pyridine acids, wherein the compositions have a pH of from 2 to 5. The compositions enable polishing at a high oxide:nitride removal rate ratio.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: July 14, 2020
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Naresh Kumar Penta, Zifeng Li
  • Patent number: 10685935
    Abstract: A method includes forming a first device die, which includes depositing a first dielectric layer, and forming a first metal pad in the first dielectric layer. The first metal pad includes a recess. The method further includes forming a second device die including a second dielectric layer and a second metal pad in the second dielectric layer. The first device die is bonded to the second device die, with the first dielectric layer being bonded to the second dielectric layer, and the first metal pad being bonded to the second metal pad.
    Type: Grant
    Filed: February 1, 2018
    Date of Patent: June 16, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Fa Chen, Hsien-Wei Chen, Sung-Feng Yeh, Wen-Chih Chiou
  • Patent number: 10625393
    Abstract: The present invention provides a chemical mechanical (CMP) polishing pad for planarizing at least one of semiconductor, optical and magnetic substrates comprising a polishing layer that has a geometric center, and in the polishing layer a plurality of offset circumferential grooves, such as circular or polygonal grooves, which have a plurality of geometric centers and not a common geometric center. In the polishing layer of the present invention, each circumferential groove is set apart a pitch distance from its nearest or adjacent circumferential groove or grooves; for example, the pitch increases on the half or hemisphere of the polishing layer that is farthest from the geometric center of its innermost circumferential groove and decreases on the half of the polishing layer nearest that geometric center. Preferably, the polishing layer contains an outermost circumferential groove that is complete and continuous.
    Type: Grant
    Filed: June 8, 2017
    Date of Patent: April 21, 2020
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Bainian Qian, Teresa Brugarolas Brufau, Julia Kozhukh
  • Patent number: 10584265
    Abstract: The present invention provides aqueous chemical mechanical planarization polishing (CMP polishing) compositions comprising one or more dispersions of aqueous colloidal silica particles, preferably, spherical colloidal silica particles, one or more amine carboxylic acids having an isolectric point (pI) below 5, preferably, an acidic amino acid or a pyridine acid, and one or more ethoxylated anionic surfactants having a C6 to C10 alkyl, aryl or alkylaryl hydrophobic group, wherein the compositions have a pH of from 3 to 5. The compositions enable good silicon nitride removal and selectivity of nitride to oxide removal in polishing.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: March 10, 2020
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Naresh Kumar Penta, Yi Guo, David Mosley, Matthew Van Hanehem, Kwadwo E. Tettey
  • Patent number: 10500694
    Abstract: A substrate polishing apparatus is disclosed that includes a polishing platform having two or more zones, each zone adapted to receive a different slurry component. A substrate polishing system is provided having a holder to hold a substrate, a polishing platform having a polishing pad, and a distribution system adapted to dispense, in a timed sequence, at least two different slurry components selected from a group consisting of an oxidation slurry component, a material removal slurry component, and a corrosion inhibiting slurry component. Polishing methods and systems adapted to polish substrates are provided, as are numerous other aspects.
    Type: Grant
    Filed: June 28, 2017
    Date of Patent: December 10, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Rajeev Bajaj, Thomas H. Osterheld, Hung Chen, Terrance Y. Lee
  • Patent number: 10421884
    Abstract: A composition for polishing silicon nitride according to the present invention includes colloidal silica, a polishing aid including a phosphoric acid compound and a sulfuric acid compound. By further including an oxidizing agent, a first selectivity representing the ratio of a polishing speed for a metal layer to a polishing speed for a silicon nitride layer and a second selectivity representing the ratio of a polishing speed for an oxide insulating layer to a polishing speed for a silicon nitride are controlled.
    Type: Grant
    Filed: December 30, 2016
    Date of Patent: September 24, 2019
    Assignee: NITTA HAAS INCORPORATED
    Inventor: Rika Tanaka
  • Patent number: 10377948
    Abstract: An etching composition selectively removes a titanium nitride film from a stacked conductive film structure including a titanium nitride (TiN) film and a tantalum nitride (TaN) film. The etching composition configured to etch titanium nitride (TiN) includes 5 wt % to 30 wt % of hydrogen peroxide, 15 wt % to 50 wt % of acid compound, and 0.001 wt % to 5 wt % of corrosion inhibitor, with respect to a total weight of the etching composition, wherein the acid compound includes at least one of phosphoric acid (H3PO4), nitric acid (HNO3), hydrochloric acid (HCl), hydroiodic acid (HI), hydrobromic acid (HBr), perchloric acid (HNO4), silicic acid (H2SiO3), boric acid (H3BO3), acetic acid (CH3COOH), propionic acid (C2H5COOH), lactic acid (CH3CH(OH)COOH), and glycolic acid (HOCH2COOH).
    Type: Grant
    Filed: November 10, 2017
    Date of Patent: August 13, 2019
    Assignees: Samsung Electronics Co., Ltd., Soulbrain Co., Ltd.
    Inventors: Hyo Sun Lee, Ho Young Kim, Sang Won Bae, Min Goo Kim, Jung Hun Lim, Yong Jae Choi
  • Patent number: 10315285
    Abstract: The invention provides a chemical-mechanical polishing composition and a method of chemically-mechanically polishing a substrate, such as a nickel-phosphorous substrate. The composition contains water, silica particles, a first alcohol comprising one or more of monohydric alcohol, polyhydric alcohol, and diglycol, a second alcohol in the form of polyvinyl alcohol, a nickel complexing agent, and optionally hydrogen peroxide, pH adjuster, and/or biocide. The method involves contacting the substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: April 5, 2016
    Date of Patent: June 11, 2019
    Assignee: Cabot Microelectronics Corporation
    Inventors: Tong Li, Michael White, Selvaraj Palanisamy Chinnathambi, Ke Zhang
  • Patent number: 10297421
    Abstract: Embodiments are directed to forming reentrant multi-layer micro-scale or millimeter scale three dimensional structures, parts, components, or devices where each layer is formed from a plurality of deposited materials and more specifically where each layer is formed from at least one metal structural material and at least one organic sacrificial material (e.g. polymer) that are co-planarized and a portion of the sacrificial material located on a plurality of layers is removed after formation of the plurality of layers via one or more plasma etching operations.
    Type: Grant
    Filed: July 11, 2016
    Date of Patent: May 21, 2019
    Assignee: Microfabrica Inc.
    Inventors: Rulon J. Larsen, III, Adam L. Cohen
  • Patent number: 10103331
    Abstract: The present invention relates to slurry for polishing crystalline phase-change materials and to a method for producing a phase-change device using the same. The slurry for polishing crystalline phase-change materials according to one embodiment of the present invention comprises an abrasive, an alkaline abrasive enhancer, an oxidizing agent having a standard reduction potential higher than that of perchlorates, and ultrapure water. In addition, the method for producing a phase-change device according to one embodiment of the present invention comprises the following steps: preparing a substrate; forming a crystalline phase-change material film on the substrate; and removing the phase-change material film through a chemical-mechanical polishing process using slurry for polishing phase-change materials, which comprises an abrasive, an alkaline abrasive enhancer, an oxidizing agent having a standard reduction potential higher than that of perchlorates, and ultrapure water.
    Type: Grant
    Filed: December 28, 2016
    Date of Patent: October 16, 2018
    Assignee: Industry-University Cooperation Foundation Hanyang University
    Inventors: Jeagun Park, Ungyu Paik, Jinhyung Park, Hao Cui, Jongyoung Cho, Heesub Hwang, Jaehyung Lim, Yehwan Kim
  • Patent number: 9828527
    Abstract: Described is a chemical-mechanical polishing (CMP) composition comprising the following components: (A) surface modified silica particles having a negative zeta potential of ?15 mV or below at a pH in the range of from 2 to 6 (B) N,N,N?,N?-tetrakis-(2-hydroxypropyl)-ethylenediamine or methanesulfonic acid (C) water (D) optionally one or more further constituents, wherein the pH of the composition is in the range of from 2 to 6.
    Type: Grant
    Filed: October 27, 2016
    Date of Patent: November 28, 2017
    Assignee: BASF SE
    Inventors: Yongqing Lan, Peter Przybylski, Zhenyu Bao, Julian Proelss
  • Patent number: 9718991
    Abstract: A chemical mechanical polishing slurry for polishing a stainless steel substrate is provided, which comprises a content 10˜50 wt % of abrasive particles, a content 0.001˜2.0 wt % of a coolant, a content 0.001˜1.0 wt % of an oxidant, a content 10˜5000 ppm of a lubricity improver, and a content 10˜5000 ppm of a foam inhibitor. A particle size of the abrasive particles is in a range of 20˜500 nm. The alkaline polishing slurry according to the present invention is capable of increasing the polishing performance, surface quality, and surface passivation effect after the chemical-mechanical polishing process.
    Type: Grant
    Filed: May 28, 2015
    Date of Patent: August 1, 2017
    Assignee: UWIZ TECHNOLOGY CO., LTD.
    Inventors: Yi Han Yang, Wen Cheng Liu, Ming Che Ho, Ming Hui Lu, Song Yuan Chang
  • Patent number: 9677174
    Abstract: A film deposition method includes placing a substrate in a substrate receiving portion of a table provided in a vacuum chamber; and performing, at least once, a film deposition-alteration step and an alteration step. The film deposition-alteration step includes an adsorption step of allowing a first reaction gas to be adsorbed on an upper surface, a reaction product production step of allowing a second reaction gas and the first reaction gas adsorbed on the upper surface to react each other, thereby producing a reaction product, and an alteration process of allowing the upper surface to be exposed to plasma into which an alteration gas is activated. The first reaction gas is supplied from the first reaction gas supplying portion, the second reaction gas is supplied from the second reaction gas supplying portion, and the alteration is supplied from the plasma.
    Type: Grant
    Filed: October 10, 2014
    Date of Patent: June 13, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takeshi Kumagai, Hitoshi Kato
  • Patent number: 9597768
    Abstract: The invention provides a chemical-mechanical polishing composition comprising, consisting essentially of, or consisting of (a) about 0.01 wt. % to about 1 wt. % of wet-process ceria, (b) about 10 ppm to about 200 ppm of a cationic polymer comprising quaternary amino groups, (c) about 10 ppm to about 2000 ppm of a non-fluorinated nonionic surfactant, (d) an amino acid, and (e) water, wherein the polishing composition has a pH of about 3 to about 8. The invention further provides a method of polishing a substrate with the polishing composition.
    Type: Grant
    Filed: September 9, 2015
    Date of Patent: March 21, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Prativa Pandey, Juyeon Chang, Brian Reiss
  • Patent number: 9593259
    Abstract: A polishing composition of the present invention contains: a polyvinyl alcohol resin having a 1,2-diol structure in its side chain, the polyvinyl alcohol resin being a copolymer of a monomer represented by Formula (1) below and a vinyl ester monomer; an organic acid; and abrasive grains whose surfaces are chemically modified so as to have a minus zeta potential on the surfaces in a solution with a pH of 2.0 or more and to have no isoelectric point: (where R1 to R6 each independently denote a hydrogen atom or an organic group, X denotes a single bond or a linking group, and R7 and R8 each independently denote a hydrogen atom or R9—CO— (where R9 denotes an alkyl group)).
    Type: Grant
    Filed: November 19, 2013
    Date of Patent: March 14, 2017
    Assignee: NITTA HAAS INCORPORATED
    Inventor: Takayuki Matsushita
  • Patent number: 9478431
    Abstract: The present disclosure provides a method of manufacturing an integrated circuit device in some embodiments. In the method, a semiconductor substrate is processed through a series of operations to form a topographically variable surface over the semiconductor substrate. The topographically variable surface varies in height across the semiconductor substrate. A polymeric bottom anti-reflective coating (BARC) is provided over the topographically variable surface. Chemical mechanical polishing is performed to remove a first portion of the BARC, and etching effectuates a top-down recessing of the BARC.
    Type: Grant
    Filed: December 14, 2015
    Date of Patent: October 25, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Kuei Liu, Teng-Chun Tsai, Kuo-Yin Lin, Shen-Nan Lee, Yu-Wei Chou, Kuo-Cheng Lien, Chang-Sheng Lin, Chih-Chang Hung, Yung-Cheng Lu
  • Patent number: 9401104
    Abstract: The invention provides a chemical-mechanical polishing composition including (a) an abrasive comprising wet-process silica particles, (b) a water-soluble polymer, (c) an oxidizing agent, (d) a chelating agent, (e) a pH-adjusting agent, and (f) an aqueous carrier, wherein the pH of the polishing composition is about 1 to about 7. The invention also provides a method of polishing a substrate, especially a nickel-phosphorus substrate, with the polishing composition.
    Type: Grant
    Filed: May 5, 2014
    Date of Patent: July 26, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Hon Wu Lau, Michael White
  • Patent number: 9353444
    Abstract: A method for providing an electroless plating over at least one copper containing layer is provided. Surfaces of the at least one copper containing layer are sealed by selectively depositing a sealing layer of catalytically active metal on the at least one copper containing layer. The sealing layer is exposed to an electroless deposition bath that is more reactive to the catalytically active metal than to the at least one copper containing layer to provide an electroless deposition on the sealing layer.
    Type: Grant
    Filed: March 25, 2014
    Date of Patent: May 31, 2016
    Assignee: Lam Research Corporation
    Inventors: Artur Kolics, Praveen Nalla, Seshasayee Varadarajan
  • Patent number: 9343321
    Abstract: A method for chemical mechanical polishing of a substrate includes polishing the substrate at a stock removal rate of greater than about 2.5 ?/min to achieve a Ra of not greater than about 5.0 ?. The substrate can be a III-V substrate or a SiC substrate. The polishing utilizes a chemical mechanical polishing slurry comprising ultra-dispersed diamonds and at least 80 wt % water.
    Type: Grant
    Filed: February 6, 2015
    Date of Patent: May 17, 2016
    Assignee: SAINT-GOBAIN CERMAICS & PLASTICS, INC.
    Inventors: Jun Wang, Ronald W. Laconto, Andrew G. Haerle
  • Patent number: 9330703
    Abstract: The invention provides a chemical-mechanical polishing composition comprising alpha alumina, fumed alumina, silica, an oxidizing agent that oxidizes nickel-phosphorous, a complexing agent, and water. The invention also provides a method of chemically-mechanically polishing a substrate comprising contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: May 3, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Haresh Siriwardane
  • Patent number: 9303188
    Abstract: A chemical mechanical polishing composition for polishing a substrate having a tungsten layer includes a water based liquid carrier, a colloidal silica abrasive dispersed in the liquid carrier and having a permanent positive charge of at least 6 mV, and a polycationic amine compound in solution in the liquid carrier. A method for chemical mechanical polishing a substrate including a tungsten layer includes contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the tungsten from the substrate and thereby polish the substrate.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: April 5, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Grumbine, Jeffrey Dysard, Lin Fu, William Ward, Glenn Whitener
  • Patent number: 9303189
    Abstract: A chemical mechanical polishing composition for polishing a substrate having a tungsten layer includes a water based liquid carrier, a colloidal silica abrasive dispersed in the liquid carrier and having a permanent positive charge of at least 6 mV, an amine containing polymer in solution in the liquid carrier, and an iron containing accelerator. A method for chemical mechanical polishing a substrate including a tungsten layer includes contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the tungsten from the substrate and thereby polish the substrate.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: April 5, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Grumbine, Jeffrey Dysard, Lin Fu, William Ward, Glenn Whitener
  • Patent number: 9281239
    Abstract: A biocompatible electrode is manufactured by depositing filling metal 36 and etching back the filling metal to the surface of the surrounding insulator 30. Then, a further etch forms a recess 38 at the top of the via 32. An electrode metal 40 is then deposited and etched back to fill the recess 38 and form biocompatible electrode 42. In this way, a planar biocompatible electrode is achieved. The step of etching to form the recess may be carried out in the same CMP tool as is used to etch back the filling metal 36. A hydrogen peroxide etch may be used.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: March 8, 2016
    Assignee: NXP B.V.
    Inventors: Roel Daamen, Matthias Merz
  • Patent number: 9196283
    Abstract: A method for fabricating a magnetic recording transducer is described. The magnetic recording transducer has an underlayer and at least one layer on the underlayer. The layer(s) are capable of including an aperture that exposes a portion of the underlayer. The method includes providing a neutralized aqueous solution having a chemical buffer therein. The chemical buffer forms a nonionic full film corrosion inhibitor. The method also includes exposing a portion of the magnetic recording transducer including the layer(s) to the neutralized aqueous solution including the chemical buffer. In one aspect this exposure occurs through a chemical mechanical planarization.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: November 24, 2015
    Assignee: Western Digital (Fremont), LLC
    Inventors: Masahiro Osugi, Lily Yao, Ming Jiang, Guanghong Luo, Wai-Ming J. Kan
  • Patent number: 9119391
    Abstract: Methods, systems and compositions are disclosed wherein normal, non-transformed, healthy biological cells are protected from oxidative stress, radiation therapy and chemotherapy while diseased, transformed cells, such as, cancer cells, are provided no protection by the biocompatible, polymer coated nanoceria composition of the present invention. The polymer-coated nanoceria preparation herein exhibits no toxicity to normal cells and exhibits pH-dependent antioxidant properties at neutral or physiological pH values, between approximately 6.5 to approximately 11.0 and is inactive as an antioxidant at acidic pH values between approximately 2.0 to approximately 6.4. Improved therapeutic agents and cytoprotecting devices are based on the newly discovered, pH dependent properties of polymer-coated nanoceria that provide selective cytoprotection.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: September 1, 2015
    Assignee: University of Central Florida Research Foundation, Inc.
    Inventors: Jesus Manuel Perez, Atul Asati, Sudip Nath, Charalambos Kaittanis
  • Patent number: 9120960
    Abstract: Improved slurry compositions comprising silicon carbide particles and alumina particles dispersed within an aqueous medium. Slurry compositions in the form of abrasive slurry compositions for use chemical mechanical planarization (CMP) processes, particularly abrasive slurry compositions for polishing of sapphire, and methods of use.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: September 1, 2015
    Assignee: SAINT-GOBAIN CERAMICS & PLASTICS, INC.
    Inventors: Abhaya K. Bakshi, Isaac K. Cherian
  • Patent number: 9070632
    Abstract: An aqueous polishing composition comprising (A) abrasive particles and (B) an amphiphilic nonionic surfactant selected from the group consisting of water-soluble or water-dispersible surfactants having (b1) hydrophobic groups selected from the group consisting of branched alkyl groups having 10 to 18 carbon atoms; and (b2) hydrophilic groups selected from the group consisting of polyoxyalkylene groups comprising (b21) oxyethylene monomer units and (b22) substituted oxyalkylene monomer units wherein the substituents are selected from the group consisting of alkyl, cycloalkyl, or aryl, alkyl-cycloalkyl, alkyl-aryl, cycloalkyl-aryl and alkyl-cycloalkyl-aryl groups, the said polyoxyalkylene group containing the monomer units (b21) and (b22) in random, alternating, gradient and/or blocklike distribution; a CMP process for substrates having patterned or unpatterned low-k or ultra-low-k dielectric layers making use of the said aqueous polishing composition; and the use of the said aqueous polishing composition for m
    Type: Grant
    Filed: October 4, 2011
    Date of Patent: June 30, 2015
    Assignee: BASF SE
    Inventors: Vijay Immanuel Raman, Frank Rittig, Yuzhuo Li, Wei Lan William Chiu
  • Patent number: 9039914
    Abstract: The invention provides a chemical-mechanical polishing composition containing wet-process silica, an oxidizing agent that oxidizes nickel-phosphorous, a chelating agent, polyvinyl alcohol, and water. The invention also provides a method of chemically-mechanically polishing a substrate, especially a nickel-phosphorous substrate, by contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: May 26, 2015
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Haresh Siriwardane
  • Patent number: 9039925
    Abstract: Provided is a polishing slurry composition, including a non-ionic surfactant represented by the following formula (1) R—(OCH2CH2)x—OH??formula (1) wherein x is an integer from 1 to 50, and R is selected from a group consisting of a C3-C50 alkyl group, a C6-C55 benzylalkyl group and a C6-C55 phenylalkyl group.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: May 26, 2015
    Assignee: UWIZ Technology Co., Ltd.
    Inventors: Wei-Jung Chen, Wen-Tsai Tsai, Ho-Ying Wu, Song-Yuan Chang, Ming-Hui Lu
  • Patent number: 9012327
    Abstract: A low defect chemical mechanical polishing composition for polishing silicon oxide containing substrates is provided comprising, as initial components: water, a colloidal silica abrasive; and, an additive according to formula I.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: April 21, 2015
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Yi Guo
  • Publication number: 20150102012
    Abstract: The invention provides chemical-mechanical polishing compositions and methods of chemically-mechanically polishing a substrate with the chemical-mechanical polishing compositions. The polishing compositions comprise first abrasive particles, wherein the first abrasive particles are ceria particles, second abrasive particles, wherein the second abrasive particles are ceria particles, surface-modified silica particles, or organic particles, a pH-adjusting agent, and an aqueous carrier. The polishing compositions also exhibit multimodal particle size distributions.
    Type: Application
    Filed: October 10, 2013
    Publication date: April 16, 2015
    Inventors: Brian REISS, Jakub NALASKOWSKI, Viet LAM, Renhe JIA, Jeffrey DYSARD
  • Patent number: 9005472
    Abstract: An aqueous polishing agent, comprising, as the abrasive, at least one kind of polymer particles (A) finely dispersed in the aqueous phase and having at their surface a plurality of at least one kind of functional groups (a1) capable of interacting with the metals and/or the metal oxides on top of the surfaces to be polished and forming complexes with the said metals and metal cations, the said polymer particles (A) being preparable by the emulsion or suspension polymerization of at least one monomer containing at least one radically polymerizable double bond in the presence of at least one oligomer or polymer containing a plurality of functional groups (a1); graft copolymers preparable by the emulsion or suspension polymerization of at least one monomer containing at least one radically polymerizable double bond in the presence of at least one oligomeric or polymeric aminotriazine-polyamine condensate; and a process for the chemical and mechanical polishing of patterned and unstructured metal surfaces making
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: April 14, 2015
    Assignee: BASF SE
    Inventors: Vijay Immanuel Raman, Ilshat Gubaydullin, Mario Brands, Yuzhuo Li, Maxim Peretolchin
  • Patent number: 8999193
    Abstract: Chemical-mechanical polishing (CMP) compositions containing chemical additives and methods of using the CMP compositions are disclosed. The CMP composition comprises abrasive; chemical additive; liquid carrier; optionally an oxidizing agent; a pH buffering agent and salt; a surfactant and a biocide. The CMP compositions and the methods provide enhanced removing rate for “SiC”, SiN” and “SiCxNy” films; and tunable removal selectivity for “SiC” in reference to SiO2, “SiN” in reference to SiO2, “SiC” in reference to “SiN”, or “SiCxNy” in reference to SiO2; wherein x ranges from 0.1 wt % to 55 wt %, y ranges from 0.1 wt % to 32 wt %.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 7, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, James Allen Schlueter, Maitland Gary Graham, Savka I. Stoeva, James Matthew Henry
  • Patent number: 8980113
    Abstract: A method for chemical mechanical polishing of a substrate includes polishing the substrate at a stock removal rate of greater than about 2.5 ?/min to achieve a Ra of not greater than about 5.0 ?. The substrate can be a III-V substrate or a SiC substrate. The polishing utilizes a chemical mechanical polishing slurry comprising ultra-dispersed diamonds and at least 80 wt % water.
    Type: Grant
    Filed: March 12, 2010
    Date of Patent: March 17, 2015
    Assignee: Saint-Gobain Ceramics & Plastics, Inc.
    Inventors: Jun Wang, Ronald W. Laconto, Andrew G. Haerle
  • Patent number: 8974680
    Abstract: A pattern forming method includes forming a coating film containing a hydrophilic first homopolymer having a first bonding group and a hydrophobic second homopolymer having a second bonding group capable of bonding with the first bonding group, forming a bond between the first and second bonding group to produce a block copolymer of the first and second homopolymers, and heating the coating film to microphase-separating the copolymer into a hydrophilic domain and a hydrophobic domain. The hydrophilic and hydrophobic domains are arranged alternately. The bond is broken, then selectively dissolving-removing either domain by a solvent to provide a polymer pattern of a remainder domain.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: March 10, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroki Tanaka, Ryosuke Yamamoto, Naoko Kihara
  • Patent number: 8969216
    Abstract: A method for single side texturing of a crystalline semiconductor substrate (10) comprises: providing a substrate (10), for example a semiconductor substrate, comprising a first surface (12) and a second surface (14) opposite to one another with respect to the substrate (10); providing a masking layer (21) with a random pattern on the first surface (12) of the substrate (10); and etching the substrate (10) in a polishing solution, thereby texturing the first surface (12) of the substrate (10) and polishing the second surface (14) in a single wet etching step.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: March 3, 2015
    Assignees: IMEC, Katholieke Universiteit Leuven, K.U. Leuven R&D
    Inventors: Victor Prajapati, Joachim John
  • Patent number: 8969204
    Abstract: The present invention relates to a CMP slurry that is able to reduce dishing generation, when it is applied to polishing or planarization of silicon oxide layer, for example, and a polishing method. The CMP slurry includes a polishing abrasive, a linear anionic polymer, a compound including a phosphoric acid group, and water, and the ratio of CMP polishing speed to a silicon oxide layer: CMP polishing speed to a silicon nitride layer is 30:1 to 50:1.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: March 3, 2015
    Assignee: LG Chem, Ltd.
    Inventors: Jong-Pil Kim, Seung-Beom Cho, Jun-Seok Noh, Jang-Yul Kim
  • Patent number: 8961807
    Abstract: Disclosed are a polishing composition and method of polishing a substrate. The composition has low-load (e.g., up to about 0.1 wt. %) of abrasive particles. The polishing composition also contains water and at least one anionic surfactant. In some embodiments, the abrasive particles are alpha alumina particles (e.g., coated with organic polymer). The polishing composition can be used, e.g., to polish a substrate of weak strength such as an organic polymer. An agent for oxidizing at least one of silicon and organic polymer is included in the composition in some embodiments.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 24, 2015
    Assignee: Cabot Microelectronics Corporation
    Inventors: Lin Fu, Steven Grumbine
  • Patent number: 8942842
    Abstract: A method of generating a library of reference spectra includes storing an optical model for a layer stack having at a plurality of layers, receiving user input identifying a set of one or more refractive index functions and a set of one or more extinction coefficient functions a first layer from the plurality of layers, wherein the set of one or more refractive index functions includes a plurality of different refractive index functions or the set of one or more extinction coefficient functions includes a plurality of different extinction coefficient functions, and for each combination of a refractive index function from the set of refractive index functions and an extinction coefficient function from the set of extinction coefficient functions, calculating a reference spectrum using the optical model based on the refractive index function, the extinction coefficient function and a first thickness of the first layer.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: January 27, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey Drue David, Dominic J. Benvegnu, Xiaoyuan Hu
  • Patent number: 8940586
    Abstract: The present disclosure relates to a bump processing method and/or resulting MEMS-CMOS structure, in which one or more anti-stiction bumps are formed within a substrate prior to the formation of a cavity in which the one or more anti-stiction bumps reside. By forming the one or more anti-stiction bumps prior to a cavity, the sidewall angle and the top critical dimension (i.e., surface area) of the one or more anti-stiction bumps are reduced. The reduction in sidewall angle and critical dimension reduces stiction between a substrate and a moveable part of a MEMS device. By reducing the size of the anti-stiction bumps through a processing sequence change, lithographic problems such as reduction of the lithographic processing window and bump photoresist collapse are avoided.
    Type: Grant
    Filed: November 23, 2011
    Date of Patent: January 27, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chris Kuo, Lee-Chuan Tseng