Radiant Energy Generation And Sources Patents (Class 250/493.1)
  • Publication number: 20140043948
    Abstract: A plasmon-generator of the invention is configured to include a first configuration member including a near-field light generating end surface; and a second configuration member joined and integrated with the first configuration member and not including the near-field light generating end surface. The first configuration member is configured to contain Au as a primary component and to contain any one or more elements selected from a group of Co, Fe, Sb, Nb, Zr, Ti, Hf, and Ta, and is configured so that a content percentage X1 of the contained element is within a range between 0.2 at % or more and 2.0 at % or less. Thereby, thermostability, optical characteristic, and the process stability are satisfied. Also, heat dissipation and heat generation suppression effect are extremely superior.
    Type: Application
    Filed: August 7, 2012
    Publication date: February 13, 2014
    Applicant: TDK CORPORATION
    Inventors: Kei HIRATA, Ryo HOSOI, Keita KAWAMORI, Tetsuya ROPPONGI
  • Patent number: 8648319
    Abstract: The invention relates to a device for generating electromagnetic THz radiation with free electron beams, comprising a dynatron tube, where the dynatron tube comprises an electron source, an extraction grid, and, an anode preferably coated with a material composition for high secondary electron emission, arranged in vacuum. The dynatron tube is connected to a voltage supply supplying an extractor voltage and an anode voltage and the extractor voltage is higher than the anode voltage. An oscillator modulates the anode voltage and the anode voltage is set to a work point voltage.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: February 11, 2014
    Inventor: Hans W. P. Koops
  • Patent number: 8648315
    Abstract: An ion accelerator includes a plasma ion source and a micro-collimator. The micro-collimator has a plurality of channels. The length-to-width ratio of each channel is greater than five, and the channel width is less than one micron. The ion source is coupled to the micro-collimator such that ions from the ion source pass into the channels, and then through the plurality of channels. In one specific example, the ion source produces cold ions that have only a small amount of lateral momentum. Each channel is an individually gated acceleration channel that is formed into a solid dielectric material. Ions are accelerated down the acceleration channel. The ion accelerator forms a part of an ionjet head of a Direct Write On Wafer (DWOW) printing system. The DWOW printing system is useful in semiconductor processing in that it can direct write an image onto a 300 mm diameter wafer in one minute.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: February 11, 2014
    Assignee: Transmute, Inc.
    Inventors: Kim L. Hailey, Robert O. Conn
  • Patent number: 8641592
    Abstract: A method and device for image guided dynamic radiation treatment of prostate cancer and other pelvic lesions including: 1) a unique fan geometry of radiation sources; 2) a special collimation method and apparatus to sculpt the radiation borders; 3) an integrated three-dimensional imager and a special tissue interface imaging system to locate and track critical boundaries in real-time; 4) a dynamic patient support system, which is shared by the said imager and the irradiation system; and 5) motorized custom shielding filters to further protect neighboring normal tissues such as the kidneys and femoral heads. The fan geometry utilizes a plural number of radiation sources arranged specifically for irradiating tumors in the human pelvis while not harming critical structures, and the collimation sculpts the radiation borders using motorized shields for different sensitive structures.
    Type: Grant
    Filed: March 23, 2010
    Date of Patent: February 4, 2014
    Inventor: Xinsheng Yu
  • Patent number: 8642982
    Abstract: A fast switching arbitrary frequency light source for broadband spectroscopic applications. The light source may operate near 1.6 um based on sideband tuning using an electro-optic modulator driven by an arbitrary waveform generator. A Fabry-Perot filter cavity selects a single sideband of the light source. The finesse (FSR/??FWHM) of the filter cavity may be chosen to enable rapid frequency switching at rates up to 5 MHz over a frequency range of 40 GHz (1.3 cm?1). The bandwidth, speed and spectral purity are high enough for spectroscopic applications where rapid and discrete frequency scans are needed. Significant signal-to-noise advantages may be realized using the rapid and broadband scanning features of this system in many areas of spectroscopy, e.g., process monitoring and control, reaction dynamics, and remote sensing (e.g., greenhouse gas monitoring, biological/chemical agent screening).
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: February 4, 2014
    Assignee: The United States of America, as represented by the Secretary of Commerce, NIST
    Inventors: David F. Plusquellic, Kevin O. Douglass, Stephen E. Maxwell, Joseph T. Hodges, David A. Long, Gar-Wing Truong
  • Patent number: 8636949
    Abstract: Improved electron beam sterilization apparatus and shielding techniques for use in are provided. A controller modulates an electron beam when sterilizing an interior to an object to ensure that adequate dose is received. Sterilization carousels are configured with input/discharge feeds to reduce the possibility of humans being exposed to dangerous levels of radiation. The system reduces the amount of shielding required to thereby lower cost of installation.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: January 28, 2014
    Assignee: Hitachi Zosen Corporation
    Inventors: Michael Lawrence Bufano, Steven Raymond Walther, Peter F. Hays, William Frederick Thomson, Arthur Wayne Sommerstein, Gerald Martin Friedman, P. Michael Fletcher, Stephen Whittaker Into, Anne Testoni, Brian S. Phillips
  • Patent number: 8633459
    Abstract: An extreme-ultraviolet (EUV) light source is described herein comprising an optic; a primary EUV light radiator generating an EUV light emitting plasma and producing a deposit on said optic; and a cleaning system comprising a gas and a secondary light radiator, the secondary light radiator generating a laser produced plasma and producing a cleaning species with the gas.
    Type: Grant
    Filed: April 15, 2011
    Date of Patent: January 21, 2014
    Assignee: Cymer, LLC
    Inventors: Alexander N. Bykanov, Silvia De Dea, Alexander I. Ershov, Vladimir B. Fleurov, Igor V. Fomenkov, William N. Partlo
  • Patent number: 8634631
    Abstract: A dental CT apparatus includes a control system arranged to move a radiation source and an imaging sensor on the opposite sides of an imaging station. The control system includes at least a first imaging mode designed for imaging patients and means for selecting at least one second imaging mode in which the source of radiation and the imaging sensor are driven during an exposure at an angular velocity of less than 4 degrees/second. A digital three dimensional models of teeth are generated based on imaging impressions or models of teeth by a CT apparatus provided with at least one specific imaging mode for the purpose.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: January 21, 2014
    Assignee: Planmeca Oy
    Inventors: Jukka Kanerva, Henri Veistera, Jaakko Lahelma
  • Patent number: 8633453
    Abstract: Disclosed herein is a device for curing photoactivatable paint coatings. The device comprises a curing radiation source configured to emit radiation sufficient to cure a photoactivatable paint coating at a target location. The radiation source is located at a source location operably spaced from the target location where the photoactivatable paint coating is to be cured thereon. A motorized support for supporting the curing radiation source at the source location is provided where the motorized support is configured to advance the curing radiation source along a travel path. The motorized support is further configured to cycle the curing radiation source along the travel path between a first position and a second position in order to vary over time the angle of attack of the radiation emitted from the curing radiation source toward the target location.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: January 21, 2014
    Assignee: Honda Motor Co., Ltd.
    Inventors: Nirupama Karunaratne, Darin John Long, Robert Ricci, William Lacey, Michel Van Muyen
  • Publication number: 20140008547
    Abstract: A sleeve holder assembly for a radiation source is disclosed. The sleeve holder assembly comprises: an elongate radiation transparent sleeve element; a sleeve holder receptacle portion coupled to the elongate radiation transparent sleeve element; a sleeve bolt assembly portion coupled to the sleeve holder receptacle portion; a first sealing element disposed between the sleeve holder receptacle portion and the sleeve bolt assembly portion; and a locking element configured to prevent decoupling of the sleeve bolt assembly portion and the sleeve holder receptacle portion. The first sealing element is configured to provide a substantially fluid impermeable seal between the elongate radiation transparent sleeve element and the sleeve holder receptacle portion.
    Type: Application
    Filed: October 3, 2011
    Publication date: January 9, 2014
    Applicant: Trojan Technologies
    Inventors: Joseph Strik, Paul De Boer
  • Publication number: 20140002898
    Abstract: The present invention relates to a display apparatus and to a display method adopting a novel system capable of displaying images using light in the invisible spectrum instead of using light in the visible spectrum which can be observed by the naked eye of a human. The novel system may be applied to various display apparatuses such as a television, a computer monitor, a mobile phone, a game console screen, and an outdoor advertisement display panel for displaying a variety of pieces of information such as documents, pictures, and videos.
    Type: Application
    Filed: January 9, 2012
    Publication date: January 2, 2014
    Inventor: Tae Jung Kim
  • Patent number: 8618513
    Abstract: One embodiment disclosed relates to an apparatus forming an electrical conduction path through an insulating layer on a surface of a substrate. A first radiation source is configured to emit radiation to a first region of the insulating layer, and a first electrical contact is configured to apply a first bias voltage to the first region. A second radiation source is configured to emit radiation to a second region of the insulating layer, and a second electrical contact is configured to apply a second bias voltage to the second region. The conductivities of the regions are increased by the radiation such that conductive paths are formed through the insulating layer at those regions. In one implementation, the apparatus may be used in an electron beam instrument. Another embodiment relates to a method of forming an electrical conduction path through an insulating layer. Other embodiments, aspects and features are also disclosed.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: December 31, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Tomas Plettner, Mehran Nasser-Ghodsi, Robert G. Haynes, Rudy F. Garcia
  • Patent number: 8610095
    Abstract: An extreme ultraviolet light source device in accordance with the present invention suppresses a surface that comes into contact with a target material in a molten state from being eroded by the target material, being reacted with the target material, and being cut by the target material. A target generating unit 120 injects molten tin in a droplet shape as a target 201 into a chamber 101. A protective coating provided with an erosion resistance property to tin is configured on a section that comes into contact with tin in a molten state for each face of a nozzle part 121 and a tank part 122. Alternatively, a part that comes into contact with tin in a molten state is made of a material provided with an erosion resistance property and a heat resistance property.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: December 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takayuki Yabu, Takeshi Asayama, Fumika Yoshida, Osamu Wakabayashi
  • Patent number: 8610094
    Abstract: Disclosed is a terahertz wave generator which includes a first light source outputting a first light having a first frequency; a second light source outputting a second light having a second frequency different from the first frequency; a second harmonic generation unit performing second harmonic conversion on the first and second lights to generate a third light and a fourth light; and a photomixer converting a mixing light of the third and fourth lights into a terahertz wave alternating signal and outputting a terahertz wave.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: December 17, 2013
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Namje Kim, Kyung Hyun Park, Sang-Pil Han, Hyunsung Ko, Jeong Woo Park, Han-Cheol Ryu
  • Publication number: 20130327955
    Abstract: A radiation source is configured to produce extreme ultraviolet radiation. The radiation source includes a chamber in which, in use, a plasma is generated, and an evaporation surface configured to evaporate a material formed as a by-product from the plasma and that is emitted to the evaporation surface. A method for removing a by-product material in or from a plasma radiation source of a lithographic apparatus includes evaporating a material which, in use, is emitted to that surface from the plasma.
    Type: Application
    Filed: November 27, 2012
    Publication date: December 12, 2013
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Erik Roelof Loopstra, Gerardus Hubertus Petrus Maria Swinkels, Vadim Yevgenyevich Banine, Johannes Hubertus Josephina Moors
  • Patent number: 8604448
    Abstract: A UVLED apparatus and method provide efficient curing of an optical-fiber coating onto a drawn glass fiber. The apparatus and method employ one or more UVLEDs that emit electromagnetic radiation into a curing space. An incompletely cured optical-fiber coating, which is formed upon a glass fiber, absorbs emitted and reflected electromagnetic radiation to effect improved curing.
    Type: Grant
    Filed: October 30, 2012
    Date of Patent: December 10, 2013
    Assignee: Draka Comteq, B.V.
    Inventors: Johannes Antoon Hartsuiker, Bob J. Overton, Xavier Meersseman
  • Patent number: 8604426
    Abstract: Radical anions for use in the fragmentation of positively charged biopolymer ions by means of electron transfer are produced from substances previously unknown for use as ETD production substances. The inventive substances produce radical anions that lead to electron transfer dissociations with a high yield of fragment ions. The substances have high volatility that allows them to be kept in unheated containers outside the vacuum system and transported into the vacuum system to an in vacuum electron attachment ion source via unheated lines and low molecular weights that allow the measurement of even very light fragment ions. In one embodiment, a suitable substance is 1-3-5-7-cyclooctatetraene.
    Type: Grant
    Filed: February 21, 2013
    Date of Patent: December 10, 2013
    Assignee: Bruker Daltonik GmbH
    Inventor: Ralf Hartmer
  • Patent number: 8604452
    Abstract: An EUV light source is disclosed herein which may comprise a droplet generator producing a stream of target material droplets, a first optical gain medium amplifying light on a first beam path without a seed laser providing a seed laser output to the first beam path, a second optical gain medium amplifying light on a second beam path without a seed laser providing a seed laser output to the second beam path, and a beam combiner combining light from the first beam path and the second beam path for interaction with a target material droplet to produce EUV light emitting plasma.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: December 10, 2013
    Assignee: Cymer, LLC
    Inventor: Alexander I. Ershov
  • Patent number: 8598548
    Abstract: A method for treating a plurality of magnetic recording media with ultraviolet radiation. Each of the magnetic recording media has a magnetic film, a protective film, and a lubricant film on a non-magnetic substrate. The method includes first irradiating all of the magnetic recording media with ultraviolet radiation and then irradiating a group of the magnetic recording media with ultraviolet radiation, which includes less than all of the magnetic recording media.
    Type: Grant
    Filed: August 8, 2012
    Date of Patent: December 3, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Takako Matsumoto, Kenji Hishinuma, Yoshinori Ozawa
  • Patent number: 8598543
    Abstract: The invention relates to a method and apparatus for treatment of solid cancer. More particularly, the invention comprises a multi-axis and/or multi-field raster beam charged particle cancer therapy system. The system independently controls patient translation position, patient rotation position, two-dimensional beam trajectory, delivered beam energy, delivered beam intensity, beam movement velocity, timing of charged particle delivery, and/or distribution of radiation striking healthy tissue. The system operates in conjunction with a negative ion beam source, synchrotron, patient positioning, imaging, and/or targeting method and apparatus to deliver an effective and uniform dose of radiation to a tumor while distributing radiation striking healthy tissue.
    Type: Grant
    Filed: January 5, 2011
    Date of Patent: December 3, 2013
    Inventor: Vladimir Balakin
  • Patent number: 8598551
    Abstract: An EUV radiation source includes a fuel supply configured to supply fuel to a plasma formation location. The fuel supply includes a nozzle configured to eject droplets of fuel, and a droplet accelerator configured to accelerate the fuel droplets. The EUV radiation source includes a laser radiation source configured to irradiate the fuel supplied by the fuel supply at the plasma formation location.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: December 3, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Wilbert Jan Mestrom, Erik Roelof Loopstra, Gerardus Hubertus Petrus Maria Swinkels, Erik Petrus Buurman
  • Patent number: 8592787
    Abstract: An EUV light source is configured for generating an EUV light for an exposure device. The EUV light source includes a chamber, a target supply device configured for supplying a target into the chamber, an optical system for introducing laser light from a driver laser into the chamber and irradiating the target with the laser light to turn the target into plasma from which EUV light is emitted, and an EUV collector mirror in the chamber. The EUV collector mirror may include a multilayered reflecting surface with grooves and collect the EUV light from the plasma to a focal spot. The grooves can be arranged in a concentric fashion, and be configured for diffracting at least light at a wavelength which is the same as that of the laser light from the driver laser.
    Type: Grant
    Filed: April 2, 2012
    Date of Patent: November 26, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Masato Moriya, Osamu Wakabayashi, Georg Soumagne
  • Patent number: 8586953
    Abstract: An extreme ultra violet light source device of a laser produced plasma type, in which charged particles such as ions emitted from plasma can be efficiently ejected. The extreme ultra violet light source device includes: a target nozzle that supplies a target material; a laser oscillator that applies a laser beam to the target material supplied from the target nozzle to generate plasma; collector optics that collects extreme ultra violet light radiated from the plasma; and a magnetic field forming unit that forms an asymmetric magnetic field in a position where the laser beam is applied to the target material.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: November 19, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Hiroshi Komori, Yoshifumi Ueno, Georg Soumagne
  • Patent number: 8581180
    Abstract: The present invention relates to a device for measuring glow discharge spectrometry in pulsed mode, which includes an RF electric field generator in pulsed mode, a discharge lamp, an impedance matching device for transferring the electric power supplied by the generator to the discharge lamp and a mass spectrometer suitable for measuring at least one signal representative of an ionised plasma species. According to the invention, the device includes a measurement system suitable for measuring a signal representative of the impedance mismatch ?? between the generator and the discharge lamp, said measurement system including a fast acquisition system, synchronized with the pulses and suitable for supplying the impedance matching device with a signal representing the impedance mismatch ?? for at least one part of said pulses. The device enables continuous impedance adaptation.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: November 12, 2013
    Assignee: Horiba Jobin Yvon SAS
    Inventors: Patrick Chapon, Olivier Rogerieux, Agnes Tempez
  • Patent number: 8581572
    Abstract: An apparatus for testing photovoltaic cells wherein an array of light sources are provided. The light sources can have a collimating lens. In addition, one or more feedback circuits can be provided which monitor and maintain one or more of the light sources.
    Type: Grant
    Filed: February 5, 2013
    Date of Patent: November 12, 2013
    Assignee: Alpha-Omega Power Technologies, Ltd. Co.
    Inventor: William Ray Cravey
  • Patent number: 8581484
    Abstract: A liquid metal ion gun 3 includes a liquid metal ion source 31 and a beam limiting aperture 33. The liquid metal ion source 31 includes a reservoir 36 and an emitter 35. The reservoir 36 is made of tungsten (W) and holds liquid metal gallium (Ga). The emitter 35 is made of W. The beam limiting aperture 33 is formed with a liquid metal member 44 made of Ga placed on a base 46 made of W, has an opening 41 that enables an ion beam 2 extracted from the liquid metal ion source 31 to pass therethrough, and limits the diameter of the ion beam 2. The beam limiting aperture 33 has a groove structure 45 that causes the liquid metal 44 to gather into a region located around the opening 41. The lifetime of the beam limiting aperture can be increased, and an emission can be maintained stable for a long time period and reproducibly restored to a stable state.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: November 12, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyasu Kaga, Kanehiro Nagao, Motohide Ukiana
  • Publication number: 20130284948
    Abstract: A ceramic member containing an insulating member is disclosed. The ceramic member comprises a ceramic body and an insulating layer on the ceramic body. The ceramic body contains aluminum oxide crystals and aluminum titanate crystals. The insulating layer contains silicon oxide as a main component. The ceramic body includes a first region that includes a first surface portion covered by the insulating layer, and a second region outside the first region, and having a surface resistivity of 1×106 to 1×109?/?. A surface resistivity of the first region is higher than the surface resistivity of the second region.
    Type: Application
    Filed: December 27, 2011
    Publication date: October 31, 2013
    Applicant: Kyocera Corporation
    Inventor: Kouichi Iwamoto
  • Patent number: 8567403
    Abstract: A system includes an ultraviolet light source, such as light-emitting diodes, disposed between a first ionic grid and a second ionic grid. The first and the second ionic grids have opposite ionic charges and a plurality of silver nanoparticles disposed thereon. The ultraviolet light source is configured to emit, onto the first and the second ionic grids, ultraviolet radiation having a wavelength of between about 100 nm and about 280 nm. A biochemical detector may be located adjacent to the first ionic grid on a side of the first ionic grid opposite the ultraviolet light source. The ultraviolet light source, first ionic grid, and second ionic grid may be located within a housing connected to a gas mask, and a membrane filter may be disposed between the gas mask and housing. The housing may include a power source connected to the ultraviolet light source.
    Type: Grant
    Filed: May 5, 2011
    Date of Patent: October 29, 2013
    Assignee: The United States of America as Represented by the Secretary of the Navy
    Inventors: Ryan P. Lu, Christopher K. Huynh, Ayax D. Ramirez
  • Patent number: 8569721
    Abstract: In an LPP type EUV light source apparatus, the intensity of radiated EUV light is stabilized by improving the positional stability of droplets. The extreme ultra violet light source apparatus includes: a chamber in which extreme ultra violet light is generated; a target supply division including a target tank for storing a target material therein and an injection nozzle for injecting the target material in a jet form, for supplying the target material into the chamber; a charging electrode applied with a direct-current voltage between the target tank and itself, for charging droplets when the target material in the jet form injected from the injection nozzle is broken up into the droplets; a laser for applying a laser beam to the droplets of the target material to generate plasma; and a collector mirror for collecting extreme ultra violet light radiated from the plasma to output the extreme ultra violet light.
    Type: Grant
    Filed: February 18, 2009
    Date of Patent: October 29, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Masaki Nakano, Akira Endo
  • Patent number: 8569724
    Abstract: The successful use of lithium vapor in an extreme ultraviolet (EUV) light source depends upon an intense localized heat source at the center of conical structures that evaporate, condense and re-supply liquid lithium. Induction heating of a hollow structure with toroidal topology via an internal helical field coil, can supply intense heat at its innermost radius. The resulting slim radio frequency heated structure has high optical transmission from a central EUV producing plasma to collection mirrors outside of the structure, improving EUV source efficiency and reliability.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: October 29, 2013
    Assignee: Plex LLC
    Inventor: Malcolm W. McGeoch
  • Patent number: 8563954
    Abstract: Ion microscope methods and systems are disclosed. In general, the systems and methods provide high ion beam stability.
    Type: Grant
    Filed: December 23, 2011
    Date of Patent: October 22, 2013
    Assignee: Carl Zeiss Microscopy, LLC
    Inventors: FHM-Faridur Rahman, Louis S. Farkas, III, John A. Notte, IV
  • Patent number: 8563944
    Abstract: Provided is an ion beam device provided with a gas electric field ionization ion source which can prevent an emitter tip from vibrating in a non-contact manner. The gas electric field ionization ion source is comprised of an emitter tip (21) for generating ions; an emitter base mount (64) for supporting the emitter tip; an ionizing chamber which has an extraction electrode (24) opposed to the emitter tip and which is configured so as to surround the emitter tip (21); and a gas supply tube (25) for supplying gas to the vicinity of the emitter tip. The emitter base mount and a vacuum container magnetically interact with each other.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: October 22, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyasu Shichi, Shinichi Matsubara, Norihide Saho, Noriaki Arai, Tohru Ishitani
  • Patent number: 8563955
    Abstract: The invention concerns a passive terahertz radiation source configured to emit electromagnetic radiation having frequency in the range of 10 GHz to 50 THz and a method for generating a terahertz radiation. The passive terahertz radiation source comprises: a source of a pulsed excitation light; an emitter comprising one or more emitter elements, each emitter element comprising a semiconductor layer being arranged such that at least a portion of a first major surface of said semiconductor layer is exposed to the excitation light, wherein each emitter element is configured such that upon exposure to the excitation light, a gradient of the charge carrier density is generated in the semiconductor layer in the area of transition between a first area of the semiconductor layer and a second area of the semiconductor layer, the gradient being substantially parallel to the first major surface of the semiconductor layer.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: October 22, 2013
    Assignee: Baden-Wurttemberg Stiftung GGmbH
    Inventors: Thomas Dekorsy, Gregor Klatt, Georg Bastian, Klaus Huska
  • Patent number: 8558201
    Abstract: A method and device for measuring the soot load in the exhaust gas systems of diesel engines using a sensor which is mounted downstream of a particulate filter and comprises a sensor element, to measure the operability of the particulate filter. According to the method, the soot load of the sensor element is measured resistively or capacitively using electrodes. The measuring voltage of the sensor element is controlled depending on at least one actual operating parameter of the diesel engine.
    Type: Grant
    Filed: March 13, 2010
    Date of Patent: October 15, 2013
    Assignee: Siemens Aktiengesellschaft
    Inventors: Ralf Bitter, Camiel Heffels, Thomas Hörner
  • Patent number: 8558199
    Abstract: A method for generating an ultrashort charged particle beam, comprising creating a high intensity longitudinal E-field by shaping and tightly focusing, in an on-axis geometry, a substantially radially polarized laser beam, and using the high intensity longitudinal E-field for interaction with a medium to accelerate charged particles.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: October 15, 2013
    Assignee: Institut National de la Recherche Scientifique (INRS)
    Inventors: Stephane Payeur, Sylvain Fourmaux, Jean-Claude Kieffer, Michel Piche, Jean-Philippe MacLean, Christopher Tchervenkov
  • Patent number: 8558200
    Abstract: An LED-UV lamp that is easily interchangeable within a UV-curing process and scalable in length with a fine resolution so that it is easily customizable to any UV-curing application. The LED-UV lamp may incorporate multiple rows of LEDs and contain corresponding optics that effectively deliver radiant power to a substrate at distances of several inches.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: October 15, 2013
    Assignee: Air Motion Systems, Inc
    Inventors: Aaron D. Martinez, Stephen J. Metcalf
  • Patent number: 8558203
    Abstract: This invention provides for a removable/replaceable, wavelength-transforming sleeve/sheath to be placed around a primary UV radiation source that emits a primary UV wavelength spectral distribution; such that at least a portion of the sleeve/sheath transforms at least a portion of the primary UV wavelength spectral distribution to a different secondary wavelength spectral distribution. Additionally, the sleeve/sheath may help to prevent breakage of the primary UV radiation source and to contain the broken pieces in the event of breakage. In some embodiments, a portion of the sleeve/sheath may be coupled with a UV reflective surface to direct radiation in a preferred direction. Individual sleeves/sheaths may have various patterns of wavelength-transforming materials that emit one or more secondary wavelength spectral distributions and the sleeve/sheath may also have one or more sections that allow transmission of the primary UV wavelength spectral distribution.
    Type: Grant
    Filed: February 17, 2013
    Date of Patent: October 15, 2013
    Inventor: William G Gardner
  • Publication number: 20130264500
    Abstract: The invention relates to a device for generating electromagnetic THz radiation with free electron beams, comprising a dynatron tube, where the dynatron tube comprises an electron source, an extraction grid, and, an anode preferably coated with a material composition for high secondary electron emission, arranged in vacuum. The dynatron tube is connected to a voltage supply supplying an extractor voltage and an anode voltage and the extractor voltage is higher than the anode voltage. An oscillator modulates the anode voltage and the anode voltage is set to a work point voltage.
    Type: Application
    Filed: July 26, 2011
    Publication date: October 10, 2013
    Inventor: Hans W. P. Koops
  • Patent number: 8552667
    Abstract: The present invention provides a linear accelerator in which a rotatable conductive vane is employed to vary the electromagnetic coupling between adjacent accelerating cells. The vane is sealed off from the rest of the linear accelerator by an insulating partition, so the pressure around the vane can be higher than in the rest of the accelerator. This greatly simplifies the mechanisms which may be used to control the rotation of the vane, allowing a higher bakeout temperature in manufacture and a higher rate of rotation in use.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: October 8, 2013
    Assignee: Elekta AB (Publ)
    Inventors: John Allen, Terry Arthur Large
  • Patent number: 8552410
    Abstract: A radiation-emitting device (e.g., a laser) includes an active region configured to generate a radiation emission linearly polarized along a first polarization direction and a device facet covered by an insulating layer and a metal layer on the insulating layer. The metal layer defines an aperture through which the radiation emission from the active region can be transmitted and coupled into surface plasmons on the outer side of the metal layer. The long axis of the aperture is non-orthogonal to the first polarization direction, and a sequential series of features are defined in or on the device facet or in the metal layer and spaced apart from the aperture, wherein the series of features are configured to manipulate the surface plasmons and to scatter surface plasmons into the far field with a second polarization direction distinct from the first polarization direction.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: October 8, 2013
    Assignee: President and Fellows of Harvard College
    Inventors: Federico Capasso, Nanfang Yu, Romain Blanchard
  • Patent number: 8546773
    Abstract: A radiotherapy technique for providing a radiation source having a radiation path that intersects a treatment area, activating the radiation source, and moving the radiation source in three dimensions about the treatment area, wherein the radiation source is continually directed substantially toward an isocentric point within the treatment area.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: October 1, 2013
    Inventor: John P. Stokes
  • Patent number: 8545377
    Abstract: A magazine for chain components of a chain with radiation sources comprises a housing as well as a first means for receiving chain components that is mounted in a pivotal manner in the housing and has recesses for receiving the chain components, a tension spring for driving the means for receiving the chain components, a sprocket being coupled in a pivotal manner to the means for receiving the chain components and being mounted in a pivotal position in the housing, and an ejector for ejection of the radiation sources. Furthermore, the magazine comprises an ejection lever which is mounted in a pivotal manner in the housing, whereby, in a first position, the ejection lever engages the sprocket, and in a second position it blocks the ejector.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: October 1, 2013
    Assignee: Eckert & Ziegler Bebig GmbH
    Inventors: Axel Hentrich, Christoph Lederer
  • Patent number: 8536541
    Abstract: A device for disinfecting publicly-used equipment includes a plurality of reflective units disposed along the interior of each wall of the device. Each of the reflective units can include a reflective back section and at least three reflective sections disposed about the reflective back section. UV lamps can be disposed to extend along the walls, and at partially disposed adjacent to a one or more reflective back sections of the reflective units. The UV lamps together with the reflective units collectively direct sufficient UV light on the equipment such that the equipment can be disinfected. The walls and ceiling of the device define a tunnel into which the equipment to be disinfected is inserted. Optionally, the device can include a door to prevent children and others from entering the tunnel while the UV lamps are illuminated.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: September 17, 2013
    Assignee: Yorba Linda Enterprises, LLC
    Inventors: Thomas L. Taylor, Pat Hilt
  • Patent number: 8536546
    Abstract: An electron gun, an electron source for an electron gun, an extractor for an electron gun, and a respective method for producing the electron gun, the electron source and the extractor are disclosed. Embodiments provide an electron source utilizing a carbon nanotube (CNT) bonded to a substrate for increased stability, reliability, and durability. An extractor with an aperture in a conductive material is used to extract electrons from the electron source, where the aperture may substantially align with the CNT of the electron source when the extractor and electron source are mated to form the electron gun. The electron source and extractor may have alignment features for aligning the electron source and the extractor, thereby bringing the aperture and CNT into substantial alignment when assembled. The alignment features may provide and maintain this alignment during operation to improve the field emission characteristics and overall system stability of the electron gun.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: September 17, 2013
    Assignee: Eloret Corporation
    Inventors: Cattien V. Nguyen, Bryan P. Ribaya
  • Patent number: 8536549
    Abstract: A system and a method of generating radiation and/or particle emissions are disclosed. In at least some embodiments, the system includes at least one laser source that generates a first pulse and a second pulse in temporal succession, and a target, where the target (or at least a portion the target) becomes a plasma upon being exposed to the first pulse. The plasma expand after the exposure to the first pulse, the expanded plasma is then exposed to the second pulse, and at least one of a radiation emission and a particle emission occurs after the exposure to the second pulse. In at least some embodiments, the target is a solid piece of material, and/or a time period between the first and second pulses is less than 1 microsecond (e.g., 840 ns).
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: September 17, 2013
    Assignee: The Regents of the University of California
    Inventors: Yezheng Tao, Mark S. Tillack
  • Patent number: 8536550
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: September 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Patent number: 8536551
    Abstract: An extreme ultraviolet light source apparatus using a spectrum purity filter capable of obtaining EUV light with high spectrum purity. The apparatus includes a chamber; a target supply unit for supplying a target material; a driver laser using a laser gas containing a carbon dioxide gas as a laser medium, for applying a laser beam to the target material to generate plasma; a collector mirror for collecting and outputting the extreme ultraviolet light radiated from the plasma; and a spectrum purity filter provided in an optical path of the extreme ultraviolet light, for transmitting the extreme ultraviolet light and reflecting the laser beam, the spectrum purity filter including a mesh having electrical conductivity and formed with an arrangement of apertures having a pitch not larger than a half of a shortest wavelength of the laser beam applied by the driver laser.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: September 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Masato Moriya, Osamu Wakabayashi, Tamotsu Abe, Takashi Suganuma, Akira Endo, Akira Sumitani
  • Publication number: 20130234037
    Abstract: There is described a radiation source assembly comprising an elongate radiation source; a reactor port for receiving and reversibly securing the elongate radiation source; a top plug element for reversible connection to a proximal end of the radiation source and reversible engagement with the reactor port; the top plug element configured to be disengaged from reactor port without disengagement of the elongate radiation source from the reactor port.
    Type: Application
    Filed: September 12, 2011
    Publication date: September 12, 2013
    Applicant: TROJAN TECHNOLOGIES
    Inventors: Cristian Moglan, Christopher Sheculski
  • Patent number: 8530868
    Abstract: An electromagnetic radiation generating device is a device that generates electromagnetic wave pulses from a plane surface. The electromagnetic radiation generating device includes an electromagnetic radiation generating element, a light irradiating unit. The electromagnetic radiation generating element includes: a depletion layer forming body formed by stacking a p-type silicon layer and an n-type silicon layer in a planar pattern; a light receiving surface electrode formed on one surface of the depletion layer forming body, the light receiving surface electrode including a plurality of parallel electrode parts that are equally spaced while a forming distance is maintained between the parallel electrode parts, the forming distance corresponding to the wavelength of the electromagnetic wave pulses generated from the depletion layer forming body; and a rear surface electrode formed on the opposite surface of the depletion layer forming body.
    Type: Grant
    Filed: September 15, 2012
    Date of Patent: September 10, 2013
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Hidetoshi Nakanishi, Masayoshi Tonouchi
  • Patent number: 8530865
    Abstract: A gas field ion source that can simultaneously increase a conductance during rough vacuuming and reduce an extraction electrode aperture diameter from the viewpoint of the increase of ion current. The gas field ion source has a mechanism to change a conductance in vacuuming a gas molecule ionization chamber. That is, the conductance in vacuuming a gas molecule ionization chamber is changed in accordance with whether or not an ion beam is extracted from the gas molecule ionization chamber. By forming lids as parts of the members constituting the mechanism to change the conductance with a bimetal alloy, the conductance can be changed in accordance with the temperature of the gas molecule ionization chamber, for example the conductance is changed to a relatively small conductance at a relatively low temperature and to a relatively large conductance at a relatively high temperature.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: September 10, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyasu Shichi, Shinichi Matsubara, Takashi Ohshima, Satoshi Tomimatsu, Tomihiro Hashizume, Tohru Ishitani