With Non-planar Semiconductor Surface (e.g., Groove, Mesa, Bevel, Etc.) Patents (Class 257/586)
  • Patent number: 6984871
    Abstract: A semiconductor device with high structural reliability and low parasitic capacitance is provided. In one example, the semiconductor device has a surface. The semiconductor device comprises a semiconductor region, wherein an emitter region, a base region, and a collector region are laminated from a side near a substrate of the semiconductor region; an insulating protection layer disposed on the surface; and a wiring layer disposed on the surface, the insulating protection layer forming a via hole from the side of the substrate of the semiconductor region, the via hole being formed to allow the wiring layer to make a contact to an electrode of the emitter region from a side of the substrate where the emitter region, the base region, and the collector region are laminated and where the semiconductor region is isolated.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: January 10, 2006
    Assignee: Renesas Technology Corporation
    Inventors: Tomonori Tanoue, Kazuhiro Mochizuki, Hiroji Yamada
  • Patent number: 6982452
    Abstract: An electronic device or signal processing device consists of a rectifier and capacitor which share common elements facilitating the construction and application of the device to various types of substrates and, particularly, flexible substrates. Components of the device may be fabricated from organic conductors and semiconductors.
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: January 3, 2006
    Assignee: Precision Dynamics Corporation
    Inventor: Michael L. Beigel
  • Patent number: 6979884
    Abstract: The present invention provides a bipolar transistor having a raised extrinsic base silicide and an emitter contact border that are self-aligned. The bipolar transistor of the present invention exhibit reduced parasitics as compared with bipolar transistors that do not include a self-aligned silicide and a self-aligned emitter contact border. The present invention also is related to methods of fabricating the inventive bipolar transistor structure. In the methods of the present invention, a block emitter polysilicon region replaces a conventional T-shaped emitter polysilicon.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: December 27, 2005
    Assignee: International Business Machines Corporation
    Inventors: David C. Ahlgren, Gregory G. Freeman, Marwan H. Khater, Richard P. Volant
  • Patent number: 6960820
    Abstract: A self-aligned bipolar transistor structure having a raised extrinsic base comprising an outer region and an inner region of different doping concentrations and methods of fabricating the transistor are disclosed. More specifically, the self-alignment of the extrinsic base to the emitter is accomplished by forming the extrinsic base in two regions. First, a first material of silicon or polysilicon having a first doping concentration is provided to form an outer extrinsic base region. Then a first opening is formed in the first material layer by lithography within which a dummy emitter pedestal is formed, which results in forming a trench between the sidewall of the first opening and the dummy pedestal. A second material of a second doping concentration is then provided inside the trench forming a distinct inner extrinsic base extension region to self-align the raised extrinsic base edge to the dummy pedestal edge.
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: November 1, 2005
    Assignee: International Business Machines Corporation
    Inventors: Gregory G. Freeman, Marwan H. Khater, Francois Pagette
  • Patent number: 6936868
    Abstract: A sequential mesa type avalanche photodiode (APD) includes a semiconductor substrate and a sequential mesa portion formed on the substrate. In the sequential mesa portion, a plurality of semiconductor layers, including a light absorbing layer and a multiplying layer, are laminated by epitaxial growth. In the plurality of semiconductor layers, a pair of semiconductor layers forming a pn junction is included. The carrier density of a semiconductor layer which is near to the substrate among the pair of semiconductor layers is larger than the carrier density of a semiconductor layer which is far from the substrate among the pair of semiconductor layers. In the APD, light-receiving current based on movement of electrons and positive holes generated in the sequential mesa portion when light is incident from the substrate toward the light absorbing layer is larger at a central portion than at a peripheral portion of the sequential mesa portion.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: August 30, 2005
    Assignee: Anritsu Corporation
    Inventors: Jun Hiraoka, Kazuo Mizuno, Yuichi Sasaki
  • Patent number: 6933545
    Abstract: The present invention provides a hetero-bipolar transistor having a new configuration of the interconnection. The bipolar transistor of the present invention includes the collector mesa, having the base and collector layers therein, includes a first side having a normal mesa surface and extending along the [01-1] orientation, and a second side having a reverse mesa surface and extending along the [011] orientation. The present HBT has a base interconnection, a portion of which diagonally intersects the first side of the collector mesa, accordingly, the breaking of the interconnection may not occur and the high frequency performance of the HBT may be enhanced because the width of the collector mesa is not necessary to widen to disposed the base interconnection on the first side.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: August 23, 2005
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Takeshi Kawasaki, Hiroshi Yano
  • Patent number: 6930373
    Abstract: The circuit has a power stage (LE) with heat generating components mounted around at least one component that generates less heat mounted in an inner region. The heat generating components are connected to at least one conducting metal body (K1) that is mounted on a cooling body (KK) in electrically insulated manner to cool the components. The cooling body encloses the inner region.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: August 16, 2005
    Assignee: Siemens Aktiengesellschaft
    Inventors: Gerd Auerswald, Kurt Gross, Michael Kirchberger, Stefan Kulig, Hans Rappl
  • Patent number: 6927476
    Abstract: A raised extrinsic base, silicon germanium (SiGe) heterojunction bipolar transistor (HBT), and a method of making the same is disclosed herein. The heterojunction bipolar transistor includes a substrate, a silicon germanium layer formed on the substrate, a collector layer formed on the substrate, a raised extrinsic base layer formed on the silicon germanium layer, and an emitter layer formed on the silicon germanium layer. The silicon germanium layer forms a heterojunction between the emitter layer and the raised extrinsic base layer. The bipolar transistor further includes a base electrode formed on a portion of the raised extrinsic base layer, a collector electrode formed on a portion of the collector layer, and an emitter electrode formed on a portion of the emitter layer. Thus, the heterojunction bipolar transistor includes a self-aligned raised extrinsic base, a minimal junction depth, and minimal interstitial defects influencing the base width, all being formed with minimal thermal processing.
    Type: Grant
    Filed: September 25, 2001
    Date of Patent: August 9, 2005
    Assignee: Internal Business Machines Corporation
    Inventors: Gregory G. Freeman, Seshadri Subbanna, Basanth Jagannathan, Kathryn T. Schonenberg, Shwu-Jen Jeng, Kenneth J. Stein, Jeffrey B. Johnson
  • Patent number: 6911716
    Abstract: A method for fabricating a bipolar transistor includes forming a vertical sequence of semiconductor layers, forming an implant mask on the last formed semiconductor layer, and implanting dopant ions into a portion of one or more of the semiconductor layers. The sequence of semiconductor layers includes a collector layer, a base layer that is in contact with the collector layer, and an emitter layer that is in contact with the base layer. The implanting uses a process in which the implant mask stops dopant ions from penetrating into a portion of the sequence of layers.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: June 28, 2005
    Assignee: Lucent Technologies, Inc.
    Inventors: Young-Kai Chen, Lay-Lay Chua, Vincent Etienne Houtsma, Rose Fasano Kopf, Andreas Leven, Chun-Ting Liu, Wei-Jer Sung, Yang Yang
  • Patent number: 6903439
    Abstract: By a non-selective epitaxial growth method, an SiGe film is grown on the whole surface of a silicon oxide film so as to cover an inner wall of a base opening. Here, such film forming conditions are selected that, inside the base opening, a bottom portion is formed of single crystal, other portions such as a sidewall portion are formed of polycrystalline, and a film thickness of the sidewall portion is less than or equal to 1.5 times the film thickness of the bottom portion. In this nonselective epitaxial growth, monosilane, hydrogen, diborane, and germane are used as source gases. Then, flow rates of monosilane and hydrogen are set to 20 sccm and 20 slm respectively. Also, a growth temperature is set to 650° C., a flow rate of diborane is set to 75 sccm, and a flow rate of germane is set to 35 sccm.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: June 7, 2005
    Assignee: Fujitsu Limited
    Inventors: Hidekazu Sato, Toshihiro Wakabayashi
  • Patent number: 6897540
    Abstract: A microelectronic device fabricating method includes providing a substrate having a beveled portion and forming a layer of structural material on the beveled portion. Some of the structural material can be removed from the beveled portion by anisotropic etching to form a device feature from the structural material. The device feature can be formed on the beveled portion as with a pair of spaced, adjacent barrier material lines that are substantially void of residual shorting stringers extending therebetween. Structural material can be removed from the beveled portion to form an edge defined feature on a substantially perpendicular edge of the substrate. The beveled portion and perpendicular edge can be part of a mandril. The mandril can be removed from the substrate after forming the edge defined feature.
    Type: Grant
    Filed: May 1, 2000
    Date of Patent: May 24, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Alan R. Reinberg
  • Patent number: 6894324
    Abstract: A silicon-on-insulator (SOI) gated diode and non-gated junction diode are provided. The SOI gated diode has a PN junction at the middle region under the gate, and which has more junction area than a normal diode. The SOI non-gated junction diode has a PN junction at the middle region thereof, and then also has more junction area than a normal diode. The SOI diodes of the present invention improve the protection level offered for electrical overstress (EOS)/electrostatic discharge (ESD) due to the low power density and heating for providing more junction area than normal ones. The I/O ESD protection circuits, which comprise primary diodes, a first plurality of diodes, and a second plurality of diodes, all of which are formed of the present SOI diodes, could effectively discharge the current when there is an ESD event. And, the ESD protection circuits, which comprise more primary diodes, could effectively reduce the parasitic input capacitance, so that they can be used in the RF circuits or HF circuits.
    Type: Grant
    Filed: February 15, 2001
    Date of Patent: May 17, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Ming-Dou Ker, Kei-Kang Hung, Tien-Hao Tang
  • Patent number: 6881639
    Abstract: The present invention provides a method of manufacturing semiconductor devices, by which InGaAs-base C-top HBTs are manufactured at low cost. Helium ions with a smaller radius are implanted into a p-type InGaAs layer (in external base regions) not covered with a lamination consisting of an undoped InGaAs spacer layer, n-type InP collector layer, n-type InGaAs cap layer, and collector electrode from a direction vertical to the surface of the external base layer or within an angle of 3 degrees off the vertical. In consequence, the p-type InGaAs in the external base regions remains p-type conductive and low resistive and the n-type InAlAs layer in the external emitter regions can be made highly resistive. By this method, InGaAs-base C-top HBTs can be fabricated on a smaller chip at low cost without increase of the number of processes.
    Type: Grant
    Filed: February 26, 2003
    Date of Patent: April 19, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kazuhiro Mochizuki, Kiyoshi Ouchi, Tomonori Tanoue
  • Patent number: 6879024
    Abstract: As external connection terminals for an emitter electrode (12) of an IGBT chip, a first emitter terminal (151) for electrically connecting a light emitter in a strobe light control circuit to the emitter electrode (12) and a second emitter terminal (152) for connecting a drive circuit for driving an IGBT device to the emitter electrode (12) are provided. The first emitter terminal (151) and the second emitter terminal (152) are individually connected to the emitter terminal (12) by wire bonding.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: April 12, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Makoto Kawano
  • Patent number: 6873029
    Abstract: A heterojunction bipolar transistor with self-aligned features having a self-aligned dielectric sidewall spacer disposed between base contact and emitter contact, and self-aligned base mesa aligned relative to self-aligned base contact. The base contact is self-aligned relative to the self-aligned dielectric sidewall spacer providing a predetermined base-to-emitter spacing thereby. The emitter may be an n-type, InP material; the base can be a p-type InGaAs material, possibly carbon-doped. The fabrication method includes forming a emitter electrode on an emitter layer; using the emitter contact as a mask, anisotropically etching the emitter exposing the base layer; forming a self-aligned dielectric sidewall spacer upon the emitter and base; self-alignedly depositing a self-aligned base electrode; using the self-aligned base electrode as a mask, anisotropically etching the base layer to expose the subcollector; and depositing a collector electrode on the subcollector layer.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: March 29, 2005
    Assignee: Vitesse Semiconductor Corporation
    Inventors: Gang He, James Howard
  • Patent number: 6873050
    Abstract: An intermediate construction of an integrated circuit includes a semiconductive substrate and a raised mandril over the substrate. The raised mandril may be raised out from the substrate and have at least one edge substantially perpendicular to the substrate and at least one beveled edge. A layer of structural material may form an edge defined feature on the at least one perpendicular edge.
    Type: Grant
    Filed: May 8, 2001
    Date of Patent: March 29, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Alan R. Reinberg
  • Patent number: 6869852
    Abstract: A method of fabricating a bipolar transistor structure that provides unit current gain frequency (fT) and maximum oscillation frequency (fMAX) improvements of a raised extrinsic base using non-self-aligned techniques to establish a self-aligned structure. Accordingly, the invention eliminates the complexity and cost of current self-aligned raised extrinsic base processes. The invention forms a raised extrinsic base and an emitter opening over a landing pad, i.e., etch stop layer, then replaces the landing pad with a conductor that is converted, in part, to an insulator. An emitter is then formed in the emitter opening once the insulator is removed from the emitter opening. An unconverted portion of the conductor provides a conductive base link and a remaining portion of the insulator under a spacer isolates the extrinsic base from the emitter while maintaining self-alignment of the emitter to the extrinsic base. The invention also includes the resulting bipolar transistor structure.
    Type: Grant
    Filed: January 9, 2004
    Date of Patent: March 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Alvin J. Joseph, Qizhi Liu, BethAnn Rainey, Kathryn T. Schonenberg
  • Patent number: 6858533
    Abstract: Provided are a semiconductor device having an etch stopper formed of a nitride film by low temperature atomic layer deposition which can prevent damage to a semiconductor substrate and a method for fabricating the semiconductor device. Damage to the semiconductor substrate under the etch stopper composed of a second nitride film can be prevented by forming a first nitride film using high temperature LPCVD on the semiconductor substrate, forming the etch stopper including the second nitride film by low temperature ALD on the first nitride film, and removing the second nitride film by dry etching, thus taking advantage of the different etch selectivities of the first nitride film and the second nitride film.
    Type: Grant
    Filed: July 2, 2003
    Date of Patent: February 22, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kang-soo Chu, J o-won Lee, Jae-eun Park, Jong-ho Yang
  • Patent number: 6828603
    Abstract: A hetero-bipolar transistor according to the present invention enhances reliability that relates to the breaking of wiring metal. The transistor comprises a semiconductor substrate, a sub-collector layer formed on a (100) surface of the substrate, a collector mesa formed on the sub-collector layer, and an emitter contact layer. The transistor further includes a collector electrode and wiring metal connected to the collector electrode. The edge of the sub-collector layer forms a step S, the angle of which is in obtuse relative to the substrate. Therefore, the wiring metal traversing the step S bends in obtuse angle at the step S, thus reducing the breaking of the wiring metal.
    Type: Grant
    Filed: March 24, 2003
    Date of Patent: December 7, 2004
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Masaki Yanagisawa
  • Publication number: 20040212045
    Abstract: In a method of producing a bipolar transistor, a semiconductor substrate having a substrate surface is provided. A base-terminal layer for providing a base terminal is formed on the substrate surface, and an emitter window having a wall area is formed in the base-terminal layer. A first spacing layer is formed on the wall area of the emitter contact window, and a recess is etched into the substrate within a window specified by the first spacing layer. A base layer contacted by outdiffusion from the base-terminal layer is formed in the recess of the emitter window, and a second spacing layer is formed on the first spacing layer and on the base layer. The second spacing layer is structured for the purpose of specifying a planar terminal pad on the base layer, and an emitter layer is formed on the planar terminal pad.
    Type: Application
    Filed: January 23, 2004
    Publication date: October 28, 2004
    Applicant: Infineon Technologies AG
    Inventors: Armin Tilke, Kristin Schupke
  • Patent number: 6806159
    Abstract: A method for manufacturing a semiconductor device includes forming a buried layer of a semiconductor substrate. An active region is formed adjacent at least a portion of the buried layer. A first isolation structure is formed adjacent at least a portion of the buried layer. A second isolation structure is formed adjacent at least a portion of the active region. A base layer is formed adjacent at least a portion of the active region. A dielectric layer is formed adjacent at least a portion of the base layer, and then at least part of the dielectric layer is removed at an emitter contact location and at a sinker contact location. An emitter structure is formed at the emitter contact location. Forming the emitter structure includes etching the semiconductor device at the sinker contact location to form a sinker contact region. The sinker contact region has a first depth. The method may also include forming a gate structure.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: October 19, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Angelo Pinto, Jeffrey A. Babcock, Michael Schober, Scott G. Balster, Christoph Dirnecker
  • Patent number: 6803642
    Abstract: A non-uniform depth base-emitter junction, with deeper junction at the lateral portions of the emitter, preferably coupled with a recessed and raised extrinsic base, bipolar transistor, and a method of making the same. The bipolar transistor includes a substrate, a silicon germanium layer formed on the substrate, a collector layer formed on the substrate, a recessed and raised extrinsic base layer formed on the silicon germanium layer, and a silicon pedestal on which an emitter layer is formed. The emitter has non-uniform depths into the base layer.
    Type: Grant
    Filed: December 6, 2001
    Date of Patent: October 12, 2004
    Assignee: International Business Machines Corporation
    Inventors: Gregory G. Freeman, Jae-Sung Rieh
  • Patent number: 6797995
    Abstract: A thin InGaAs contact layer is provided for the collector of a heterojunction bipolar transistor (HBT) above an InP sub-collector. The contact layer provides a low resistance contact mechanism and a high thermal conductivity path for removing device heat though the sub-collector, and also serves as an etch stop to protect the sub-collector during device fabrication. A portion of the sub-collector lateral to the remainder of the HBT is rendered electrically insulative, preferably by an ion implant, to provide electrical isolation for the device and improve its planarity by avoiding etching through the sub-collector.
    Type: Grant
    Filed: February 14, 2002
    Date of Patent: September 28, 2004
    Assignee: Rockwell Scientific Licensing, LLC
    Inventors: Richard L. Pierson, Jr., James Chingwei Li, Berinder P. S. Brar, John A. Higgins
  • Publication number: 20040164378
    Abstract: The present invention relates to a bipolar transistor of NPN type implemented in an epitaxial layer within a window defined in a thick oxide layer, including an opening formed substantially at the center of the window, this opening penetrating into the epitaxial layer down to a depth of at least the order of magnitude of the thick oxide layer, an N-type doped region at the bottom of the opening, a first P-type doped region at the bottom of the opening, a second lightly-doped P-type region on the sides of the opening, and a third highly-doped P-type region in the vicinity of the upper part of the opening, the three P-type regions being contiguous and forming the base of the transistor.
    Type: Application
    Filed: February 24, 2004
    Publication date: August 26, 2004
    Inventor: Yvon Gris
  • Patent number: 6777783
    Abstract: An insulated gate bipolar transistor includes a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type formed on a top surface of the first semiconductor layer, a base layer of the first conductivity type formed on a top surface of the second semiconductor layer, a plurality of gate electrodes each of which is buried in a trench with a gate insulation film interposed therebetween, the trench being formed in the base layer to a depth reaching said second semiconductor layer from a surface of the base layer, each the gate electrode having an upper surface of a rectangular pattern with different widths in two orthogonal directions, the gate electrodes being disposed in a direction along a short side of the rectangular pattern, and emitter layers of the second conductivity type formed in the surface of the base layer to oppose both end portions of each the gate electrode in a direction along a long side of the rectangular pattern.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: August 17, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tadashi Matsuda
  • Patent number: 6774455
    Abstract: A method for manufacturing a semiconductor device includes forming a buried layer of a semiconductor substrate. An active region is formed adjacent at least a portion of the buried layer. At least part of the active region is removed to form a shallow trench opening. A dielectric layer is formed proximate the active region at least partially within the shallow trench opening. At least part of the dielectric layer is removed to form a collector contact region. A collector contact may be formed at the collector contact region. The collector contact may be operable to electrically contact the buried layer.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: August 10, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Jeffrey A. Babcock, Christoph Dirnecker, Angelo Pinto, Scott G. Balster, Michael Schober, Alfred Haeusler
  • Patent number: 6759694
    Abstract: A phototransistor structure is disclosed. A sidewall is grown on the collector side and under the base. The surface of the sidewall is formed with a sidewall contact. When the contact is connected to an external voltage, the holes accumulated at the junction of the base and emitter can be quickly removed. This solves the problem in the prior art that using a bias between the base and the emitter to remove holes usually results in a large dark current (bias current), power consumption, and diminishing optoelectronic conversion gain.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: July 6, 2004
    Assignee: Industrial Technology Research Institute
    Inventors: Yu-Min Hsu, Jin-Wei Shi, Zing-Way Pei, Fon Yuan, Chee-Wee Liu
  • Patent number: 6759730
    Abstract: A structure and a process for fabricating a bipolar junction transistor (BJT) that is compatible with the fabrication of a vertical MOSFET is disclosed. In the process, at least three layers of material are formed sequentially on a semiconductor substrate, where the substrate includes a buried collector region for the BJT and a source region for the MOSFET. After the at least three layers are formed on the substrate, two windows or trenches are formed in the layers. The first window terminates at the surface of the silicon substrate where the source region has been formed; the second window terminates at the buried collector region. Both windows are then filled with semiconductor material. For the BJT, the bottom portion of the window is filled with material of a conductivity type matching the conductivity of the buried collector, while the upper region of the semiconductor material is doped the opposite conductivity to form the BJT base.
    Type: Grant
    Filed: September 18, 2001
    Date of Patent: July 6, 2004
    Assignee: Agere Systems Inc.
    Inventors: Samir Chaudhry, Paul Arthur Layman, John Russell McMacken, Ross Thomson, Jack Qingsheng Zhao
  • Publication number: 20040119136
    Abstract: An electronic circuit comprises a bipolar transistor that includes a conductive back electrode, an insulator layer over the conductive back electrode and a semiconductor layer of either an n-type or p-type material over the insulator layer. The semiconductor layer includes a doped region, used as the collector and a heavily doped region, bordering the doped region, used as a reachthrough between the insulator layer and the collector contact electrode. A majority-carrier accumulation layer is induced adjacent to the insulator in the doped region of the collector by the application of a bias voltage to the back electrode.
    Type: Application
    Filed: December 24, 2002
    Publication date: June 24, 2004
    Applicant: International Business Machines Corporation
    Inventors: Jin Cai, Tak H. Ning, Qiqing Ouyang
  • Patent number: 6740914
    Abstract: A field effect transistor (FET) is disclosed that includes a heat spreader adapted to reduce the thermal resistance and channel operating temperature of a field effect transistor used in a circuit block susceptible to self-heating effects. In one embodiment, regulatory circuit blocks of an integrated circuit, such as phase locked loops, utilize the FET to improve the characteristics of a regulatory output required by other circuit blocks, such as digital logic circuits. In one embodiment the FET is a silicon-on-insulator structure.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: May 25, 2004
    Assignee: Fujistu Limited
    Inventor: Robert P. Masleid
  • Patent number: 6740909
    Abstract: A semiconductor device and method of fabricating the device. An emitter region is formed self centered and self aligned symmetrically with a base region. Using frontside processing techniques, a collector is formed symmetrically self-aligned with the base region and the emitter region. The collector region may be further formed self-centered with the base region using backside processing techniques. The self-aligned and self-centered symmetric structure virtually eliminates parasitic elements in the device significantly improving the device performance. The device is scalable on the order of approximately 0.1 microns. The method also provides reproduceability and repeatability of device characteristics necessary for commercial manufacture of the symmetric device.
    Type: Grant
    Filed: April 2, 2001
    Date of Patent: May 25, 2004
    Assignee: Ziptronix, Inc.
    Inventor: Paul Enquist
  • Patent number: 6730981
    Abstract: In an element formation region, a surface of an N− epitaxial layer is inclined upward from an end of a field oxide film to a sidewall of an opening. An external base diffusion layer at the surface of the N− epitaxial layer is inclined upward from a side of the field oxide film to the sidewall of the opening, and is exposed at the sidewall of the opening. A portion of the sidewall of the opening exposing the external base diffusion layer is tapered. The depth of a lower end of the external base diffusion layer or the sidewall of the opening is substantially equal to or smaller than that of a bottom of the opening. A decrease in breakdown voltage between an emitter and a base is suppressed, and decrease and variation of current gain hFE is suppressed.
    Type: Grant
    Filed: November 4, 2002
    Date of Patent: May 4, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Hidenori Fujii
  • Patent number: 6717236
    Abstract: A method of reducing electromigration in a dual-inlaid copper interconnect line (3) by filling a via (6) with a Cu-rich Cu—Zn alloy (30) electroplated on a Cu surface (200 from a stable chemical solution, and by controlling the Zn-doping thereof, which also improves interconnect reliability and corrosion resistance, and a semiconductor device thereby formed. The method involves using a reduced-oxygen Cu—Zn alloy as fill (30) for the via (6) in forming the dual-inlaid interconnect structure (35). The alloy fill (30) is formed by electroplating the Cu surface (20) in a unique chemical solution containing salts of Zn and Cu, their complexing agents, a pH adjuster, and surfactants, thereby electroplating the fill (30) on the Cu surface (20); and annealing the electroplated Cu—Zn alloy fill (30); and planarizing the Cu—Zn alloy fill (30), thereby forming the dual-inlaid copper interconnect line (35).
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: April 6, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey Lopatin, Alexander H. Nickel, Paul L. King
  • Publication number: 20040046233
    Abstract: Bipolar transistors and methods for fabricating bipolar transistors are disclosed wherein an emitter-base dielectric stack is formed between emitter and base structures, comprising a carbide layer situated between first and second oxide layers. The carbide layer provides an etch stop for etching the overlying oxide layer, and the underlying oxide layer provides an etch stop for etching the carbide layer to form an emitter-base contact opening.
    Type: Application
    Filed: September 8, 2003
    Publication date: March 11, 2004
    Inventors: Leland S. Swanson, Gregory E. Howard
  • Patent number: 6703686
    Abstract: An n-type low impurity concentration semiconductor layer is provided, by epitaxial growth or the like, on a p-type semiconductor substrate. In order to vertically form a semiconductor device in the low impurity concentration semiconductor layer, at least a p-type diffusion region is provided. In a surface of the semiconductor layer, a collector electrode and a base electrode are respectively formed in electrical connection to the n-type low impurity concentration semiconductor layer and the p-type diffusion region. The collector electrode is formed on a surface of the n+-type low resistance region of a polycrystal semiconductor formed depthwise in the low impurity concentration semiconductor layer.
    Type: Grant
    Filed: April 5, 2002
    Date of Patent: March 9, 2004
    Assignee: Rohm Co., Ltd.
    Inventors: Takahiko Konishi, Masahiko Takeno
  • Patent number: 6696705
    Abstract: A power semiconductor component having a mesa edge termination is described. The component has a semiconductor body with first and second surfaces. An inner zone of a first conductivity type is disposed in the semiconductor body. A first zone is disposed in the semiconductor body and is connected to the inner zone. An edge area outside of the first zone has areas etched out. A second zone of a second conductivity type is disposed in the semiconductor body and is connected to the inner zone, and a boundary area between the second zone and the inner zone defines a pn junction. A field stop zone is adjacent the first surface in the edge area. The field stop zone is formed of the first conductivity type and is embedded in the semiconductor body, and the field stop zone is connected to the first zone and to the inner zone.
    Type: Grant
    Filed: September 12, 2000
    Date of Patent: February 24, 2004
    Assignee: Eupec Europaeische Gesellschaft fuer Leistungshalbleiter mbH & Co. KG
    Inventors: Reiner Barthelmess, Gerhard Schmidt
  • Patent number: 6682981
    Abstract: General purpose methods for the fabrication of integrated circuits from flexible membranes formed of very thin low stress dielectric materials, such as silicon dioxide or silicon nitride, and semiconductor layers. Semiconductor devices are formed in a semiconductor layer of the membrane. The semiconductor membrane layer is initially formed from a substrate of standard thickness, and all but a thin surface layer of the substrate is then etched or polished away. In another version, the flexible membrane is used as support and electrical interconnect for conventional integrated circuit die bonded thereto, with the interconnect formed in multiple layers in the membrane. Multiple die can be connected to one such membrane, which is then packaged as a multi-chip module. Other applications are based on (circuit) membrane processing for bipolar and MOSFET transistor fabrication, low impedance conductor interconnecting fabrication, flat panel displays, maskless (direct write) lithography, and 3D IC fabrication.
    Type: Grant
    Filed: February 5, 2001
    Date of Patent: January 27, 2004
    Assignee: Elm Technology Corporation
    Inventor: Glenn Joseph Leedy
  • Patent number: 6674102
    Abstract: A SiGe bipolar transistor including a semiconductor substrate having a collector and sub-collector region formed therein, wherein the collector and sub-collector are formed between isolation regions that are also present in the substrate is provided. Each isolation region includes a recessed surface and a non-recessed surface which are formed utilizing lithography and etching. A SiGe layer is formed on the substrate as well as the recessed non-recessed surfaces of each isolation region, the SiGe layer includes polycrystalline Si regions and a SiGe base region. A patterned insulator layer is formed on the SiGe base region; and an emitter is formed on the patterned insulator layer and in contact with the SiGe base region through an emitter window opening.
    Type: Grant
    Filed: January 25, 2001
    Date of Patent: January 6, 2004
    Assignee: International Business Machines Corporation
    Inventors: Douglas Duane Coolbaugh, Mark D. Dupuis, Matthew D. Gallagher, Peter J. Geiss, Brett A. Philips
  • Patent number: 6670255
    Abstract: Disclosed is a method of fabricating a lateral semiconductor device, comprising: providing a substrate, having at least an upper silicon portion forming at least one first dopant type region and at least one second dopant type region in the upper portion of the substrate, at least one of the first dopant type regions abutting at least one of the second dopant type regions and thereby forming at least one PN junction; and forming at least one protective island on a top surface of the upper silicon portion, the protective island extending the length of the PN junction and overlapping a portion of the first dopant type region and a portion of an abutting second dopant type region.
    Type: Grant
    Filed: September 27, 2001
    Date of Patent: December 30, 2003
    Assignee: International Business Machines Corporation
    Inventors: James W. Adkisson, Jeffrey P. Gambino, Peter B. Gray, Anthony K. Stamper
  • Publication number: 20030232478
    Abstract: This invention provides a method for manufacturing a hetero-junction bipolar transistor, in which a hole concentration of a base layer doped with carbon can be increased. The method comprises the following steps. 1) A sub-collector 30, a collector 50, a base 60 doped with carbon are sequentially grown after setting a semiconductor substrate on the stage in the growth chamber; 2) an emitter 70 and an emitter contact 80 are grown at a temperature T; and 3) grown layers are annealed at a temperature TA, where the relation of T<Ta≦600° is satisfied. This process enhances the activation of carbon atoms by dissociating hydrogen atoms captured in the base 60 to the ambience.
    Type: Application
    Filed: April 23, 2003
    Publication date: December 18, 2003
    Inventor: Kenji Hiratsuka
  • Patent number: 6664574
    Abstract: A semiconductor component (100) includes a semiconductor substrate (16) that is formed with trench (27). A semiconductor layer (20) is formed in the trench for coupling a control signal (VB) through a sidewall (25) of the trench to route a current (Ic) through a bottom surface (23) of the trench.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: December 16, 2003
    Assignee: Semiconductor Components Industries LLC
    Inventors: Misbahul Azam, Gary Loechelt, Julio Costa
  • Patent number: 6664610
    Abstract: This invention provides a new configuration and manufacturing method of the hetero-junction bipolar transistor. According to the invention, the HBT comprises a semi-insulating InP substrate, a buffer layer on the substrate, a sub-collector layer, a collector layer, a base layer, an emitter layer, and an emitter contact layer These layers are sequentially grown on the buffer layer. Since a pre-processing of forming two depressions in the sub-collector layer before growing the collector layer, the top surface of the emitter layer becomes planar surface. This results on the reduction of pits induced in the etching of the emitter contact layer, thus enhances the reliability and the high frequency performance of the HBT.
    Type: Grant
    Filed: October 10, 2002
    Date of Patent: December 16, 2003
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Takeshi Kawasaki, Kenji Kotani, Masaki Yanagisawa, Seiji Yaegashi, Hiroshi Yano
  • Publication number: 20030203582
    Abstract: The present invention provides a method of forming a semiconductor device, includes processes for forming first and second semiconductor layers of first conductivity type each disposed in a transistor forming region with both being spaced a predetermined distance from each other, and forming the first semiconductor layer so as to have a concentration higher than the second semiconductor layer; a vapor-phase diffusing an impurity of second conductivity type into side faces of the second semiconductor layer, which are exposed in the spaced region; embedding a non-doped semiconductor layer between the first and second semiconductor layers in the spaced region; and a step for performing heat treatment until the non-doped semiconductor layer is brought to the first conductivity type, part of a region for the second conductivity type impurity diffused into sidewalls of the second semiconductor layer is brought to the first conductivity type, and the other region for the second conductivity type impurity is brought
    Type: Application
    Filed: January 29, 2003
    Publication date: October 30, 2003
    Inventor: Hirokazu Fujimaki
  • Publication number: 20030201517
    Abstract: An epitaxial base bipolar transistor comprising an epitaxial single crystal layer on a single crystal single substrate; a raised emitter on the semiconductor surface; a raised extrinsic base on the surface of the semiconductor substrate; an insulator between the raised emitter and the raised extrinsic base, wherein said insulator is a spacer; and a diffusion from the raised emitter and from the raised extrinsic base to provide an emitter diffusion and an extrinsic base diffusion in said single crystal substrate, wherein said emitter diffusion has an emitter diffusion junction depth, and wherein said emitter extends to said substrate surface and said base extends to..said substrate surface, wherein said emitter to base surface height difference is less than 20% of said emitter junction depth is provided as well as methods for fabricating the same.
    Type: Application
    Filed: April 29, 2003
    Publication date: October 30, 2003
    Inventors: James Stuart Dunn, David L. Harame, Jeffrey Bowman Johnson, Robb Allen Johnson, Louis DeWolf Lanzerotti, Stephen Arthur St,. Onge
  • Publication number: 20030189239
    Abstract: A self-aligned bipolar transistor and a method of formation thereof are provided. The bipolar transistor has a raised extrinsic base such that the link base resistance is reduced by providing an extrinsic base which is thicker than the intrinsic base. The increase in thickness of the extrinsic base provides a less resistive layer of the heavily doped base region. The method of forming the bipolar transistor includes depositing a first epitaxial layer on a substrate to form a base region having an intrinsic base region and an extrinsic base region. The extrinsic base region is raised by depositing a second epitaxial layer over a portion of the first epitaxial layer such that the thickness of the extrinsic base layer is x and the thickness of the intrinsic layer is y, wherein x>y. The second epitaxial layer is deposited using a chemical vapor epitaxial device where the concentration of Ge to Si is gradually reduced from above 5% to close to 0% during the epitaxy process.
    Type: Application
    Filed: April 9, 2002
    Publication date: October 9, 2003
    Inventors: Alexander Kalnitsky, Alexei Shatalov, Michael Rowlandson, Sang H. Park, Robert F. Scheer, Fanling H. Yang
  • Publication number: 20030183845
    Abstract: A bipolar transistor having base and collector regions of narrow bandgap semiconductor material and a minority-carrier excluding base contact has a base doping level greater than 1017 cm−3. The transistor has a greater dynamic range, greater AC voltage and power gain-bandwidth products and a lower base access resistance than prior art narrow band-gap bipolar transistors.
    Type: Application
    Filed: November 7, 2002
    Publication date: October 2, 2003
    Inventor: Timothy J Phillips
  • Patent number: 6627925
    Abstract: A transistor with a novel compact layout is provided. The transistor has an emitter layout having a track with a first feed point and a second feed point whereby current flows through both the first feed point and the second feed point. A base terminal, a collector terminal, and an emitter terminal are provided. When in operation, current flows from the collector terminal to the emitter terminal based on the amount of current provided to the base terminal. A sub-collector layer is formed on a substrate. A collector layer is formed on the sub-collector layer. A base pedestal is formed on the collector layer. A base contact for coupling to the base terminal and an emitter is formed on the base pedestal. An emitter contact for coupling to the emitter terminal is formed on the emitter. A collector contact for coupling to the collector terminal is deposited in a trench that is formed in the collector layer and the sub-collector layer.
    Type: Grant
    Filed: October 13, 2000
    Date of Patent: September 30, 2003
    Assignee: Skyworks Solutions, Inc.
    Inventor: Hugh J. Finlay
  • Patent number: 6627972
    Abstract: The invention relates to a vertical bipolar transistor and a method for the production thereof. The aim of the invention is to produce a vertical bipolar transistor and to disclose a method for the production thereof, whereby excellent high frequency properties can be obtained for said transistor using the simplest possible production technology involving an implanted epitaxy-free collector and only one polysilicon layer spread over a large surface and which can be easily integrated into a conventional mainstream CMOS process without epitaxially produced trough areas.
    Type: Grant
    Filed: March 7, 2001
    Date of Patent: September 30, 2003
    Assignee: Institut fuer Halbleiterphysik Frankfurt (Oder) GmbH
    Inventors: Karl-Ernst Ehwald, Dieter Knoll, Bernd Heinemann
  • Patent number: 6600211
    Abstract: The invention includes a bipolar transistor construction having a collector region, emitter region, and base region extending within a semiconductive material substrate. The construction further comprises separate access regions associated with the base region, emitter region and collector region, respectively. An n-type doped connecting region is comprised by the collector region and extends beneath the emitter and base regions. A p-type doped location is comprised by the base region and extends beneath the emitter region and above the n-type doped connecting region. An n-type doped intermediate location is within the emitter region and between the p-type doped location and the emitter access region. The invention also includes methods of forming bipolar transistors.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: July 29, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Nathaniel J. Collins
  • Patent number: 6600213
    Abstract: A semiconductor structure with greatly reduced backside chipping and cracking, as well as increased die strength, accommodation of compact assembly with a carrier such as another semiconductor chip, and resistance to package damage is provided by dicing chips from a wafer in a manner that chamfers edges of the chips. Similar advantages are obtained in multi-chip structure.
    Type: Grant
    Filed: May 15, 2001
    Date of Patent: July 29, 2003
    Assignee: International Business Machines Corporation
    Inventors: Donald W. Brouillette, Robert F. Cook, Thomas G. Ference, Wayne J. Howell, Eric G. Liniger, Ronald L. Mendelson