Containing Germanium, Ge Patents (Class 257/616)
  • Patent number: 7741658
    Abstract: The embodiments of the invention comprise a self-aligned super stressed p-type field effect transistor (PFET). More specifically, a field effect transistor comprises a channel region comprising N-doped material and a gate above the channel region. The field effect transistor also includes a source region on a first side of the channel region and a drain region on a second side of the channel region opposite the first side. The source and drain regions each comprise silicon germanium, wherein the silicon germanium has structural indicia of epitaxial growth.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: June 22, 2010
    Assignee: International Business Machines Corporation
    Inventors: Yaocheng Liu, Zhijiong Luo, Huilong Zhu
  • Patent number: 7737466
    Abstract: A semiconductor device includes a substrate having a first area and a second area adjacent to the first area, a first silicon layer provided on the substrate in the first area, a relaxed layer which is provided on the substrate in the second area and which has a lattice constant greater than a lattice constant of the first silicon layer, and a strained-Si layer which is provided on the relaxed layer and which has a lattice constant substantially equivalent to the lattice constant of the relaxed layer.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: June 15, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kaoru Hiyama, Tomoya Sanuki, Osamu Fujii
  • Patent number: 7723749
    Abstract: A method for in situ formation of low defect, strained silicon and a device formed according to the method are disclosed. In one embodiment, a silicon germanium layer is formed on a substrate, and a portion of the silicon germanium layer is removed to expose a surface that is smoothed with a smoothing agent. A layer of strained silicon is formed on the silicon germanium layer. In various embodiments, the entire method is conducted in a single processing chamber, which is kept under vacuum.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: May 25, 2010
    Assignee: Intel Corporation
    Inventor: Mohamad A. Shaheen
  • Patent number: 7723773
    Abstract: An object of the present invention is to provide a nonvolatile semiconductor storage device with a superior charge holding characteristic in which highly-efficient writing is possible at low voltage, and to provide a manufacturing method thereof. The nonvolatile semiconductor storage device includes a semiconductor film having a pair of impurity regions formed apart from each other and a channel formation region provided between the impurity regions; and a first insulating film, a charge accumulating layer, a second insulating film, and a conductive film functioning as a gate electrode layer which are provided over the channel formation region. In the nonvolatile semiconductor storage device, a second barrier formed by the first insulating film against a charge of the charge accumulating layer is higher in energy than a first barrier formed by the first insulating film against a charge of the semiconductor film.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: May 25, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventor: Shunpei Yamazaki
  • Publication number: 20100123218
    Abstract: This invention provides a method of forming semiconductor films on dielectrics at temperatures below 400° C. Semiconductor films are required for thin film transistors (TFTs), on-chip sensors, on-chip micro-electromechanical systems (MEMS) and monolithic 3D-integrated circuits. For these applications, it is advantageous to form the semiconductor films below 400° C. because higher temperatures are likely to destroy any underlying devices and/or substrates. This invention successfully achieves low temperature growth of germanium films using diboran. First, diboran gas is supplied into a reaction chamber at a temperature below 400° C. The diboran decomposes itself at the given temperature and decomposed boron is attached to the surface of a dielectric, for e.g., SiO2, forming a nucleation site and/or a seed layer. Second, source gases for semiconductor film formation, for e.g., SiH4, GeH4, etc., are supplied into the chamber, thereby forming a semiconductor film.
    Type: Application
    Filed: November 14, 2008
    Publication date: May 20, 2010
    Applicant: NEC CORPORATION
    Inventors: Munehiro TADA, Krishna SARASWAT
  • Patent number: 7696542
    Abstract: A protruding structure having a linear edge is formed on a substrate. The protruding structure may be a gate line of a field effect transistor. A stress-generating liner is deposited on the substrate. A non-photosensitive self-assembling block copolymer layer containing at least two immiscible polymeric block components is deposited on the stress-generating liner, and is annealed to allow phase separation of immiscible components. The polymeric resist is developed to remove at least one of the at least two polymeric block components, which formed a pattern of nested lines due to the linear edge of the protruding structure. Linear nanoscale stripes are formed in the polymeric resist which is self-aligning and self-assembled. The stress-generating layer is patterned into linear stress-generating stripes having a sublithographic width.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: April 13, 2010
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Bruce B. Doris, Elbert E. Huang, Sampath Purushothaman, Carl J. Radens
  • Patent number: 7687356
    Abstract: A method of forming a silicon germanium conduction channel under a gate stack of a semiconductor device, the gate stack being formed on a silicon layer on an insulating layer, the method including growing a silicon germanium layer over said silicon layer and heating the device such that germanium condenses in the silicon layer such that a silicon germanium channel is formed between the gate stack and the insulating layer.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: March 30, 2010
    Assignee: STMicroelectronics Crolles 2 SAS
    Inventors: Philippe Coronel, Arnaud Pouydebasque
  • Patent number: 7683362
    Abstract: A method of fabricating a semiconductor device is disclosed that is able to suppress a short channel effect and improve carrier mobility. In the method, trenches are formed in a silicon substrate corresponding to a source region and a drain region. When epitaxially growing p-type semiconductor mixed crystal layers to fill up the trenches, the surfaces of the trenches are demarcated by facets, and extended portions of the semiconductor mixed crystal layers are formed between bottom surfaces of second side wall insulating films and a surface of the silicon substrate, and extended portion are in contact with a source extension region and a drain extension region.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: March 23, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Hiroyuki Ohta, Takashi Sakuma, Yosuke Shimamune, Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura
  • Patent number: 7679141
    Abstract: A method of forming a low-defect, substantially relaxed SiGe-on-insulator substrate material is provided. The method includes first forming a Ge-containing layer on a surface of a first single crystal Si layer which is present atop a barrier layer that is resistant to Ge diffusion. A heating step is then performed at a temperature that approaches the melting point of the final SiGe alloy and retards the formation of stacking fault defects while retaining Ge. The heating step permits interdiffusion of Ge throughout the first single crystal Si layer and the Ge-containing layer thereby forming a substantially relaxed, single crystal SiGe layer atop the barrier layer. Moreover, because the heating step is carried out at a temperature that approaches the melting point of the final SiGe alloy, defects that persist in the single crystal SiGe layer as a result of relaxation are efficiently annihilated therefrom.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: March 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Huajie Chen, Anthony G. Domenicucci, Keith E. Fogel, Richard J. Murphy, Devendra K. Sadana
  • Patent number: 7675055
    Abstract: The present invention provides CMOS structures including at least one strained pFET that is located on a rotated semiconductor substrate to improve the device performance. Specifically, the present invention utilizes a Si-containing semiconductor substrate having a (100) crystal orientation in which the substrate is rotated by about 45° such that the CMOS device channels are located along the <100> direction. Strain can be induced upon the CMOS structure including at least a pFET and optionally an nFET, particularly the channels, by forming a stressed liner about the FET, by forming embedded stressed wells in the substrate, or by utilizing a combination of embedded stressed wells and a stressed liner. The present invention also provides methods for fabricating the aforesaid semiconductor structures.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: March 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Meikei Ieong, Qiging C. Ouyang, Kern Rim
  • Publication number: 20100052104
    Abstract: The invention relates to a method for fabricating a locally passivated germanium-on-insulator substrate wherein, in order to achieve good electron mobility, nitridized regions are provided at localised positions. Nitridizing is achieved using a plasma treatment. The resulting substrates also form part of the invention.
    Type: Application
    Filed: September 2, 2009
    Publication date: March 4, 2010
    Inventors: Thomas Signamarcheix, Frederic Allibert, Chrystel Deguet
  • Publication number: 20100044836
    Abstract: The invention relates to a process for making at least one GeOI structure by germanium condensation of a SiGe layer supported by a layer of silicon oxide. The layer of silicon oxide is doped with germanium, the concentration of germanium in the layer of silicon oxide being such that it lowers the flow temperature of the layer of silicon oxide below the oxidation temperature allowing germanium condensation of the SiGe layer.
    Type: Application
    Filed: August 12, 2009
    Publication date: February 25, 2010
    Applicant: Commissariat A L'Energie Atomique
    Inventors: Jean-François Damlencourt, Benjamin Vincent
  • Patent number: 7667222
    Abstract: A phase change memory comprises a phase-change recording layer for recording information through changing between a crystal phase and an amorphous phase; and a means for applying a tensile strain onto the phase-change recording layer, thereby providing the memory having high reliability, as well as, high tolerance or durability against repetitive rewriting operation.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: February 23, 2010
    Assignee: Hitachi, Ltd.
    Inventor: Tomio Iwasaki
  • Patent number: 7642607
    Abstract: A MOS device having reduced recesses under a gate spacer and a method for forming the same are provided. The MOS device includes a gate structure overlying the substrate, a sidewall spacer on a sidewall of the gate structure, a recessed region having a recess depth of substantially less than about 30 ? underlying the sidewall spacer, and a silicon alloy region having at least a portion in the substrate and adjacent the recessed region. The silicon alloy region has a thickness of substantially greater than about 30 nm. A shallow recess region is achieved by protecting the substrate when a hard mask on the gate structure is removed. The MOS device is preferably a pMOS device.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: January 5, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hao Wang, Ta-Wei Wang
  • Publication number: 20090321733
    Abstract: Methods and compositions for depositing a metal containing film on a substrate are disclosed. A reactor and at least one substrate disposed in the reactor are provided. A metal containing precursor is provided and introduced into the reactor, which is maintained at a temperature of at least 100° C. A metal is deposited on to the substrate through a deposition process to form a thin film on the substrate.
    Type: Application
    Filed: June 25, 2009
    Publication date: December 31, 2009
    Inventors: Julien GATINEAU, Kazutaka Yanagita, Singo Okubo
  • Patent number: 7638383
    Abstract: Faceted catalytic dots are used for directing the growth of carbon nanotubes. In one example, a faceted dot is formed on a substrate for a microelectronic device. A growth promoting dopant is applied to a facet of the dot using an angled implant, and a carbon nanotube is grown on the doped facet of the dot.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: December 29, 2009
    Assignee: Intel Corporation
    Inventors: Been-Yih Jin, Robert S. Chau, Brian S. Doyle, Marko Radosavljevic
  • Publication number: 20090309190
    Abstract: A semiconductor product comprises an insulator layer and a SOI (Silicon On Insulator) layer on the insulator layer, wherein the SOI layer contains implanted Germanium (Ge) at or near the interface with the insulator layer so as to form gettering sites. The semiconductor product can be manufactured by ion implanting Germanium (Ge) into silicon material and bonding the silicon material onto a handle so as to form a SOI substrate.
    Type: Application
    Filed: May 11, 2007
    Publication date: December 17, 2009
    Inventors: William Andrew Nevin, Alexander Holke
  • Publication number: 20090302353
    Abstract: Methods for electrodepositing germanium on various semiconductor substrates such as Si, Ge, SiGe, and GaAs are provided. The electrodeposited germanium can be formed as a blanket or patterned film, and may be crystallized by solid phase epitaxy to the orientation of the underlying semiconductor substrate by subsequent annealing. These plated germanium layers may be used as the channel regions of high-mobility channel field effect transistors (FETs) in complementary metal oxide semiconductor (CMOS) circuits.
    Type: Application
    Filed: August 14, 2009
    Publication date: December 10, 2009
    Applicant: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Hariklia Deligianni, Qiang Huang, Lubomyr T. Romankiw, Devendra K. Sadana, Katherine L. Saenger
  • Publication number: 20090302426
    Abstract: A process is provided for fabricating a semiconductor device having a germanium nanofilm layer that is selectively deposited on a silicon substrate in discrete regions or patterns. A semiconductor device is also provided having a germanium film layer that is disposed in desired regions or having desired patterns that can be prepared in the absence of etching and patterning the germanium film layer. A process is also provided for preparing a semiconductor device having a silicon substrate having one conductivity type and a germanium nanofilm layer of a different conductivity type. Semiconductor devices are provided having selectively grown germanium nanofilm layer, such as diodes including light emitting diodes, photodetectors, and like. The method can also be used to make advanced semiconductor devices such as CMOS devices, MOSFET devices, and the like.
    Type: Application
    Filed: June 10, 2008
    Publication date: December 10, 2009
    Inventors: Sean R. McLaughlin, Narsingh Bahadur Singh, Brian Wagner, Andre Berghmans, David J. Knuteson, David Kahler, Anthony A. Margarella
  • Patent number: 7619311
    Abstract: A memory device described herein includes a bit line having a top surface and a plurality of vias. The device includes a plurality of first electrodes each having top surfaces coplanar with the top surface of the bit line, the first electrodes extending through corresponding vias in the bit line. An insulating member is within each via and has an annular shape with a thickness between the corresponding first electrode and a portion of the bit line acting as a second electrode. A layer of memory material extends across the insulating members to contact the top surfaces of the bit line and the first electrodes.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: November 17, 2009
    Assignee: Macronix International Co., Ltd.
    Inventor: Hsiang-Lan Lung
  • Patent number: 7615776
    Abstract: A method of assembling a circuit includes providing a template, enabling a semiconductor material to self assemble on the template, and enabling self-assembly of a connection between the semiconductor material and the template to form the circuit and a circuit created by self-assembly.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: November 10, 2009
    Assignee: International Business Machines Corporation
    Inventor: Praveen Chaudhari
  • Publication number: 20090273010
    Abstract: A method for removing impurities from at least one semiconductor device layer during manufacturing of a semiconductor device is disclosed. The semiconductor device layer has a compound semiconductor material and/or germanium. Each heating process performed during the manufacturing of the semiconductor device after provision of the semiconductor device layer has a low thermal budget determined by temperatures equal to or lower than about 900° C. and time periods equal to or lower than about 5 minutes. In one aspect, the method includes providing a germanium gettering layer with a higher solubility for the impurities than the semiconductor device layer. The germanium gettering layer is provided at least partly in direct or indirect contact with the at least one semiconductor device layer, such that impurities can diffuse from the at least one semiconductor device layer to the germanium gettering layer.
    Type: Application
    Filed: May 1, 2009
    Publication date: November 5, 2009
    Applicants: Interuniversitair Microelektronica Centrum vzw (IMEC), Umicore
    Inventors: Eddy Simoen, Jan Vanhellemont
  • Patent number: 7611974
    Abstract: A process for fabricating a multilayer structure is provided as well as the structure itself. In accordance with one embodiment, the process includes growing a growth layer on a silicon substrate by epitaxial growth, forming at least one pattern from the growth layer, depositing an oxide layer on the silicon substrate, transferring a silicon active layer onto the oxide layer, forming a cavity in the silicon active layer oxide layer above the pattern, and growing a III-V material in the cavity.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: November 3, 2009
    Assignee: S.O.I. Tec Silicon on Insulator Technologies
    Inventor: Fabrice Letertre
  • Publication number: 20090250724
    Abstract: A bipolar transistor is formed on a heavily doped silicon substrate (1). An epitaxially grown collector (12) is formed on the substrate (1) and comprises silicon containing germanium at least at the top of the collector (12). An epitaxial base (13) is formed on the collector (12) to have the opposite polarity and also comprises silicon containing germanium at least at the bottom of the base (13). An emitter is formed at the top of the base (13) and comprises polysilicon doped to have the same polarity as the collector (12).
    Type: Application
    Filed: December 14, 2005
    Publication date: October 8, 2009
    Applicant: X-FAB SEMICONDUCTOR FOUNDRIES AG
    Inventor: John Nigel Ellis
  • Patent number: 7598513
    Abstract: A novel method for synthesizing device-quality alloys and ordered phases in a Si—Ge—Sn system uses a UHV-CVD process and reactions of SnD4 with SiH3GeH3. Using the method, single-phase SixSnyGe1-x-y semiconductors (x?0.25, y?0.11) are grown on Si via Ge1-xSnx buffer layers The Ge1-xSnx buffer layers facilitate heteroepitaxial growth of the SixSnyGe1-x-y films and act as compliant templates that can conform structurally and absorb the differential strain imposed by the more rigid Si and Si—Ge—Sn materials. The SiH3GeH3 species was prepared using a new and high yield method that provided high purity semiconductor grade material.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: October 6, 2009
    Inventors: John Kouvetakis, Matthew Bauer, John Tolle
  • Publication number: 20090236695
    Abstract: A multilayer semiconductor wafer has a substrate wafer having a first side and a second side; a fully or partially relaxed heteroepitaxial layer deposited on the first side of the substrate wafer; and a stress compensating layer deposited on the second side of the substrate wafer. The multilayer semiconductor wafer is produced by a method including depositing on a first side of a substrate a fully or partially relaxed heteroepitaxial layer at a deposition temperature; and at the same temperature or before significantly cooling the wafer from the deposition temperature, providing a stress compensating layer on a second side of the substrate.
    Type: Application
    Filed: February 26, 2009
    Publication date: September 24, 2009
    Applicant: SILTRONIC AG
    Inventors: Peter Storck, Martin Vorderwestner
  • Publication number: 20090236696
    Abstract: A multilayer semiconductor wafer has a substrate wafer having a first side and a second side; a fully or partially relaxed heteroepitaxial layer deposited on the first side of the substrate wafer; and a stress compensating layer deposited on the second side of the substrate wafer. The multilayer semiconductor wafer is produced by a method including depositing on a first side of a substrate a fully or partially relaxed heteroepitaxial layer at a deposition temperature; and at the same temperature or before significantly cooling the wafer from the deposition temperature, providing a stress compensating layer on a second side of the substrate.
    Type: Application
    Filed: March 18, 2009
    Publication date: September 24, 2009
    Applicant: SILTRONIC AG
    Inventors: Peter Storck, Martin Vorderwestner
  • Patent number: 7592619
    Abstract: A method of forming an epitaxial layer of uniform thickness is provided to improve surface flatness. A substrate is first provided and a Si base layer is then formed on the substrate by epitaxy. A Si—Ge layer containing 5 to 10% germanium is formed on the Si base layer by epitaxy to normalize the overall thickness of the Si base layer and the Si—Ge layer containing 5 to 10% germanium.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: September 22, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Pang-Yen Tsai, Liang-Gi Yao, Chun-Chieh Lin, Wen-Chin Lee, Shih-Chang Chen
  • Publication number: 20090224369
    Abstract: An integrated circuit (IC) substrate (32) comprising a germanium layer (26), an aluminium oxide layer (22), and an interfacial layer (28) provided on the germanium layer between the germanium layer and the aluminium oxide layer, which interfacial layer provides control of electrical properties at an interface between the germanium layer and the interfacial layer. The electrical properties may comprise charge carrier trap density, and the interfacial layer may provide control of the charge carrier trap density to minimise the trap density. The interfacial layer is used to ensure an intimate, high-quality germanium layer—interfacial layer interface. A method manufacturing an IC substrate is also provided, along with a gallium arsenide circuit integrated in a system-on-chip (SOC) comprising an IC substrate, and a germanium electronic circuit in combination with a gallium arsenide circuit, integrated in a system-on-chip—(SOC), comprising an IC substrate.
    Type: Application
    Filed: June 19, 2007
    Publication date: September 10, 2009
    Inventors: Harold Samuel Gamble, Brian Mervyn Armstrong, David William McNeil, Neil Samuel John Mitchell
  • Patent number: 7582934
    Abstract: A semiconductor device comprises a semiconductor mesa overlying a dielectric layer, a gate stack formed overlying the semiconductor mesa, and an isolation spacer formed surrounding the semiconductor mesa and filling any undercut region at edges of the semiconductor mesa.
    Type: Grant
    Filed: March 1, 2008
    Date of Patent: September 1, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chih-Hsin Ko, Wen-Chin Lee, Yee-Chia Yeo, Chung-Hu Ke
  • Patent number: 7579617
    Abstract: A method of fabricating a semiconductor device is disclosed that is able to suppress a short channel effect and improve carrier mobility. In the method, trenches are formed in a silicon substrate corresponding to a source region and a drain region. When epitaxially growing p-type semiconductor mixed crystal layers to fill up the trenches, the surfaces of the trenches are demarcated by facets, and extended portions of the semiconductor mixed crystal layers are formed between bottom surfaces of second side wall insulating films and a surface of the silicon substrate, and extended portion are in contact with a source extension region and a drain extension region.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: August 25, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Yosuke Shimamune, Hiroyuki Ohta, Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura
  • Patent number: 7579636
    Abstract: A strained Si layer 2 is epitaxially grown on a base SiGe layer 1, and a gate insulating film 3a and a gate electrode 4a are formed. An impurity is then ion-implanted (FIG. 2A) into the base SiGe layer 1 and the strained Si layer 2 using the gate electrode 4a as a mask, heat treatment is performed for activation, and a source/drain region 6 is formed (FIGS. 2B and 2C). In this instance, the film thickness of the strained Si layer 2 is set to 2Tp, where Tp (=Rp) is the depth having the maximum concentration of the impurity in the source/drain region 6 of the finished MISFET.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: August 25, 2009
    Assignee: NEC Corporation
    Inventor: Kazuya Uejima
  • Patent number: 7569941
    Abstract: One-dimensional nanostructures having uniform diameters of less than approximately 200 nm. These inventive nanostructures, which we refer to as “nanowires”, include single-crystalline homostructures as well as heterostructures of at least two single-crystalline materials having different chemical compositions. Because single-crystalline materials are used to form the heterostructure, the resultant heterostructure will be single-crystalline as well. The nanowire heterostructures are generally based on a semiconducting wire wherein the doping and composition are controlled in either the longitudinal or radial directions, or in both directions, to yield a wire that comprises different materials. Examples of resulting nanowire heterostructures include a longitudinal heterostructure nanowire (LOHN) and a coaxial heterostructure nanowire (COHN).
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: August 4, 2009
    Assignee: The Regents of the University of California
    Inventors: Arun Majumdar, Ali Shakouri, Timothy D. Sands, Peidong Yang, Samuel S. Mao, Richard E. Russo, Henning Feick, Eicke R. Weber, Hannes Kind, Michael Huang, Haoquan Yan, Yiying Wu, Rong Fan
  • Patent number: 7569847
    Abstract: One-dimensional nanostructures having uniform diameters of less than approximately 200 nm. These inventive nanostructures, which we refer to as “nanowires”, include single-crystalline homostructures as well as heterostructures of at least two single-crystalline materials having different chemical compositions. Because single-crystalline materials are used to form the heterostructure, the resultant heterostructure will be single-crystalline as well. The nanowire heterostructures are generally based on a semiconducting wire wherein the doping and composition are controlled in either the longitudinal or radial directions, or in both directions, to yield a wire that comprises different materials. Examples of resulting nanowire heterostructures include a longitudinal heterostructure nanowire (LOHN) and a coaxial heterostructure nanowire (COHN).
    Type: Grant
    Filed: January 20, 2005
    Date of Patent: August 4, 2009
    Assignee: The Regents of the University of California
    Inventors: Arun Majumdar, Ali Shakouri, Timothy D. Sands, Peidong Yang, Samuel S. Mao, Richard E. Russo, Henning Feick, Eicke R. Weber, Hannes Kind, Michael Huang, Haoquan Yan, Yiying Wu, Rong Fan
  • Patent number: 7569913
    Abstract: A method for forming an etch-stop layer and a resulting structure fabricated therefrom. The etch-stop layer has a semiconductor layer having a first surface and a boron layer formed below the first surface of the semiconductor layer. The boron layer has a full-width half-maximum (FWHM) thickness value of less than 100 nanometers. The boron layer is formed by a chemical vapor deposition (CVD) system.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: August 4, 2009
    Assignee: Atmel Corporation
    Inventor: Darwin G. Enicks
  • Patent number: 7557396
    Abstract: A semiconductor device includes a side wall spacer formed on the side surface of a gate electrode formed on the upper side of a semiconductor substrate with a gate insulation film therebetween, extension regions built up on the semiconductor substrate, and source/drain regions formed on the extension regions, wherein a first epitaxial layer is formed so as to fill up portions, cut out at the time of forming the side wall spacer, of the semiconductor substrate, and the extension regions are formed on the first epitaxial layer from a second epitaxial layer of a conduction type opposite to that of the first epitaxial layer.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: July 7, 2009
    Assignee: Sony Corporation
    Inventor: Atsuhiro Ando
  • Patent number: 7557388
    Abstract: A semiconductor device formed on a strained silicon layer and a method of manufacturing such a semiconductor device are disclosed. In accordance with this invention, a first silicon germanium layer is formed on a single crystalline silicon substrate; a second silicon germanium layer is formed on the first silicon germanium layer, the second silicon germanium layer having a concentration of germanium in a range of about 1 percent by weight to about 15 percent by weight based on the total weight of the second silicon germanium layer; a strained silicon layer is formed on the second silicon germanium layer; an isolation layer is formed at a first portion of the strained silicon layer; a gate structure is formed on the strained silicon layer; and, source/drain regions are formed at second portions of the strained silicon layer adjacent to the gate structure to form a transistor.
    Type: Grant
    Filed: April 5, 2006
    Date of Patent: July 7, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sun-Ghil Lee, Young-Pil Kim, Yu-Gyun Shin, Jong-Wook Lee, Young-Eun Lee
  • Patent number: 7554138
    Abstract: The invention relates to a method of manufacturing a semiconductor strained layer and to a method of manufacturing a semiconductor device (10) in which a semiconductor body (11) of silicon is provided, at a surface thereof, with a first semiconductor layer (1) having a lattice of a mixed crystal of silicon and germanium and a thickness such that the lattice is substantially relaxed, and on top of the first semiconductor layer (1) a second semiconductor layer (2) is provided comprising strained silicon, in which layer (2) a part of the semiconductor device (10) is formed, and wherein measures are taken to avoid reduction of the effective thickness of the strained silicon layer (2) during subsequent processing needed to form the semiconductor device (10), said measures comprising the use of a third layer (3) having a lattice of a mixed crystal of silicon and germanium.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: June 30, 2009
    Assignee: NXP B.V.
    Inventors: Philippe Meunier-Beillard, Claire Ravit
  • Patent number: 7550309
    Abstract: The present invention is a method for producing a semiconductor wafer, comprising at least steps of, epitaxially growing a Si1-XGeX layer (0<X<1) on an SOI wafer, forming a Si1-YGeY layer (0?Y<X) on the epitaxially grown Si1-XGeX layer, and then enriching Ge in the epitaxially grown Si1-XGeX layer by an oxidation heat treatment so that the Si1-XGeX layer becomes an enriched SiGe layer, wherein, at least, the oxidation heat treatment is initiated from 950° C. or less under an oxidizing atmosphere, and the oxidation is performed so that the formed Si1-YGeY layer remains during a temperature rise to 950° C. Thereby, there can be provided a method for producing a semiconductor wafer by which the lattice relaxation of the SiGe layer in an SGOI wafer can be sufficiently performed by a heat treatment for a short time and its production cost can be reduced.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: June 23, 2009
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Isao Yokokawa, Nobuhiko Noto, Kiyoshi Mitani
  • Patent number: 7544997
    Abstract: A method for forming a semiconductor device includes forming a recess in a source region and a recess in a drain region of the semiconductor device. The method further includes forming a first semiconductor material layer in the recess in the source region and a second semiconductor material layer in the recess in the drain region, wherein each of the first semiconductor material layer and the second semiconductor material layer are formed using a stressor material having a first ratio of an atomic concentration of a first element and an atomic concentration of a second element, wherein the first element is silicon and a first level of concentration of a doping material. The method further includes forming additional semiconductor material layers overlying the first semiconductor material layer and the second semiconductor material layer that have a different ratio of the atomic concentration of the first element and the second element.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: June 9, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Da Zhang, Veeraraghavan Dhandapani, Darren V. Goedeke, Jill C. Hildreth
  • Patent number: 7545023
    Abstract: A semiconductor transistor includes a substrate, a gate insulating layer positioned on the surface of the substrate, a gate positioned on the gate insulating layer, a channel region positioned in the substrate corresponding to the gate, and a source region and a drain region respectively positioned alongside the channel region. The source region and the drain region are mainly made of a first material and a second material, wherein the first material and the second material have a same lattice structure and different spacing. The source region and the drain region each include a main region in which a percentage of the second material is constant, and a peripheral region in which a percentage of the second material is graded.
    Type: Grant
    Filed: March 22, 2005
    Date of Patent: June 9, 2009
    Assignee: United Microelectronics Corp.
    Inventor: Chin-Cheng Chien
  • Patent number: 7538390
    Abstract: A semiconductor device including an NMOS region and a PMOS region in the same substrate, wherein the semiconductor device includes a strained Si layer which is provided on the substrate in the NMOS region and in which the surface has a plane orientation different from that of the substrate, and a strained SiGe layer which is provided on the substrate in the PMOS region and which is composed of a stained layer having the same plane orientation as that of the surface of the substrate; and a method of manufacturing the same.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: May 26, 2009
    Assignee: Sony Corporation
    Inventors: Junli Wang, Toyotaka Kataoka, Masaki Saito
  • Patent number: 7528401
    Abstract: A method for fabricating chalcogenide materials on substrates, which reduces and/or eliminates agglomeration of materials on the chalcogenide materials; and system and devices for performing the method, semiconductor devices so produced, and machine readable media containing the method. One method disclosed includes forming a first layer, forming a second layer on the first layer, forming a third layer on the second layer, wherein the third layer is essentially transparent to irradiation, and irradiating the second layer through the third layer to cause the second layer to diffuse into the first layer thereby creating an integral layer of materials from the first and second layers.
    Type: Grant
    Filed: January 16, 2004
    Date of Patent: May 5, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Jiutao Li
  • Patent number: 7525154
    Abstract: A semiconductor substrate and a manufacturing method therefore, and a semiconductor device using the semiconductor substrate comprise a strained Si region and unstrained Si region formed at substantially the same level. In an aspect of the invention, a semiconductor substrate is provided by comprising a support substrate, a first semiconductor region including a first silicon layer formed above the support substrate, a second semiconductor region including a strained second silicon layer formed above the support substrate, a surface of the second silicon layer being formed at substantially the same level as a surface of the first silicon layer, and an insulating film at an interface between the first semiconductor region and the second semiconductor region.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: April 28, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hajime Nagano, Ichiro Mizushima, Kiyotaka Miyano
  • Patent number: 7518188
    Abstract: A p-channel MOS transistor includes a gate electrode formed on a silicon substrate in correspondence to a channel region therein via a gate insulation film, the gate electrode carrying sidewall insulation films on respective sidewall surfaces thereof, and source and drain regions of p-type are formed in the substrate at respective outer sides of the sidewall insulation films, wherein each of the source and drain regions encloses a polycrystal region of p-type accumulating therein a compressive stress.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: April 14, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Masashi Shima, Yosuke Shimamune, Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura
  • Patent number: 7514726
    Abstract: A lattice matched silicon germanium (SiGe) semiconductive alloy is formed when a {111} crystal plane of a cubic diamond structure SiGe is grown on the {0001} C-plane of a single crystalline Al2O3 substrate such that a <110> orientation of the cubic diamond structure SiGe is aligned with a <1,0,?1,0> orientation of the {0001} C-plane. A lattice match between the substrate and the SiGe is achieved by using a SiGe composition that is 0.7223 atomic percent silicon and 0.2777 atomic percent germanium. A layer of Si1-xGex is formed on the cubic diamond structure SiGe. The value of X (i) defines an atomic percent of germanium satisfying 0.2277<X<1.0, (ii) is approximately 0.2777 where the layer of Si1-xGex interfaces with the cubic diamond structure SiGe, and (iii) increases linearly with the thickness of the layer of Si1-xGex.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: April 7, 2009
    Assignee: The United States of America as represented by the Aministrator of the National Aeronautics and Space Administration
    Inventors: Yeonjoon Park, Sang H. Choi, Glen C. King, James R. Elliott, Jr., Diane M. Stoakley
  • Publication number: 20090085167
    Abstract: The present invention is related to the field of semiconductor processing and, more particularly, to the formation of low resistance layers on germanium substrates. One aspect of the present invention is a method comprising: providing a substrate on which at least one area of a germanium layer is exposed; depositing over the substrate and said germanium area a metal, e.g., Co or Ni; forming over said metal, a capping layer consisting of a silicon oxide containing layer, of a silicon nitride layer, or of a tungsten layer, preferably of a SiO2 layer; then annealing for metal-germanide formation; then removing selectively said capping layer and any unreacted metal, wherein the temperature used for forming said capping layer formation is lower than the annealing temperature.
    Type: Application
    Filed: August 29, 2008
    Publication date: April 2, 2009
    Applicant: Interuniversitair Microelektronica Centrum vzw (IMEC)
    Inventors: David Brunco, Marc Meuris
  • Patent number: 7507988
    Abstract: A heterostructure is provided which includes a substantially relaxed SiGe layer present atop an insulating region that is located on a substrate. The substantially relaxed SiGe layer has a thickness of from about 2000 nm or less, a measured lattice relaxation of from about 50 to about 80% and a defect density of less than about 108 defects/cm2. A strained epitaxial Si layer is located atop the substantially relaxed SiGe layer and at least one alternating stack including a bottom relaxed SiGe layer and an top strained Si layer located on the strained epitaxial Si layer.
    Type: Grant
    Filed: January 2, 2007
    Date of Patent: March 24, 2009
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Huajie Chen, Anthony G. Domenicucci, Keith E. Fogel, Devendra K. Sadana
  • Patent number: 7508050
    Abstract: A negative differential resistance (NDR) diode and a memory cell incorporating that NDR diode are provided. The NDR diode comprises a p-type germanium region in contact with an n-type germanium region and forming a germanium pn junction diode. A first gate electrode overlies the p-type germanium region, is electrically coupled to the n-type germanium region, and is configured for coupling to a first electrical potential. A second gate electrode overlies the n-type germanium region and is configured for coupling to a second electrical potential. A third electrode is electrically coupled to the p-type germanium region and may be coupled to the second gate electrode. A small SRAM cell uses two such NDR diodes with a single pass transistor.
    Type: Grant
    Filed: March 16, 2006
    Date of Patent: March 24, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Gen Pei, Zoran Krivokapic
  • Publication number: 20090065776
    Abstract: Embodiments relate to printing features from an ink containing a material precursor. In some embodiments, the material includes an electrically active material, such as a semiconductor, a metal, or a combination thereof. In another embodiment, the material includes a dielectric. The embodiments provide improved printing process conditions that allow for more precise control of the shape, profile and dimensions of a printed line or other feature. The composition(s) and/or method(s) improve control of pinning by increasing the viscosity and mass loading of components in the ink. An exemplary method thus includes printing an ink comprising a material precursor and a solvent in a pattern on the substrate; precipitating the precursor in the pattern to form a pinning line; substantially evaporating the solvent to form a feature of the material precursor defined by the pinning line; and converting the material precursor to the patterned material.
    Type: Application
    Filed: May 2, 2008
    Publication date: March 12, 2009
    Inventors: Erik SCHER, Steven Molesa, Joerg Rockenberger, Arvind Kamath, Ikuo Mori