Channel Stop Layer Patents (Class 257/652)
  • Patent number: 11973041
    Abstract: Embodiments disclosed herein include electronic packages and methods of forming such electronic packages. In an embodiment, the electronic package comprises a mold layer having a first surface and a second surface opposite the first surface, and a plurality of first dies embedded in the mold layer. In an embodiment, each of the plurality of first dies has a surface that is substantially coplanar with the first surface of the mold layer. In an embodiment, the electronic package further comprises a second die embedded in the mold layer. In an embodiment, the second die is positioned between the plurality of first dies and the second surface of the mold layer.
    Type: Grant
    Filed: December 20, 2021
    Date of Patent: April 30, 2024
    Assignee: Intel Corporation
    Inventors: Srinivas Pietambaram, Gang Duan, Deepak Kulkarni, Rahul Manepalli, Xiaoying Guo
  • Patent number: 11929394
    Abstract: A semiconductor device of the present invention includes a semiconductor layer of a first conductivity type having a cell portion and an outer peripheral portion disposed around the cell portion, formed with a gate trench at a surface side of the cell portion, and a gate electrode buried in the gate trench via a gate insulating film, forming a channel at a portion lateral to the gate trench at ON-time, the outer peripheral portion has a semiconductor surface disposed at a depth position equal to or deeper than a depth of the gate trench, and the semiconductor device further includes a voltage resistant structure having a semiconductor region of a second conductivity type formed in the semiconductor surface of the outer peripheral portion.
    Type: Grant
    Filed: January 13, 2022
    Date of Patent: March 12, 2024
    Assignee: ROHM CO., LTD.
    Inventors: Yuki Nakano, Ryota Nakamura
  • Patent number: 11869802
    Abstract: A method of forming a semiconductor structure and the semiconductor structure are provided. The method includes the following operations. A semiconductor substrate is provided, in which a plurality of isolation grooves distributed at intervals are provided in the semiconductor substrate, and each of the isolation grooves includes a top region isolation groove and a bottom region isolation groove. A first protective layer covering the side wall of the top region isolation groove and the top of the semiconductor substrate is formed. Oxidation treatment is performed on the bottom region isolation groove to oxidize a part of the semiconductor substrate close to the bottom region isolation groove to form a second substrate isolation layer. A dielectric layer filling the isolation groove is formed. The first protective layer and the dielectric layer higher than the top of the semiconductor substrate are etched to form an isolation structure.
    Type: Grant
    Filed: July 27, 2021
    Date of Patent: January 9, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Wei Feng, Haihan Hung
  • Patent number: 11756794
    Abstract: A method of fabricating an IC includes forming a layer stack thereon including silicon nitride layer on a first silicon oxide layer, with a second silicon oxide layer thereon on a substrate including a semiconductor material. The layer stack is etched to form ?1 trench that is at least 2 microns deep into the semiconductor material. A dielectric liner is formed on sidewalls and a bottom of the trench. A polysilicon layer is formed on the dielectric liner that fills the trench and extends lateral to the trench. Chemical mechanical planarization (CMP) processing stops on the silicon nitride layer to remove the polysilicon layer and the second silicon oxide layer to form a trench structure having a polysilicon fill. After the CMP processing, thermal oxidation oxidizes exposed regions of the polysilicon layer to form a polysilicon oxide layer. After the thermal oxidizing, the silicon nitride layer is removed.
    Type: Grant
    Filed: August 27, 2020
    Date of Patent: September 12, 2023
    Assignee: Texas Instruments Incorporated
    Inventors: Shariq Arshad, James Tyler Overton, Divya Geetha Nair, Helen Elizabeth Melcher
  • Patent number: 11682667
    Abstract: A semiconductor device with large memory capacity is provided. A semiconductor device includes first to fourth insulators, a first conductor, a second conductor, and a first semiconductor, and the first semiconductor includes a first surface and a second surface. A first side surface of the first conductor is included on the first surface of the first semiconductor, and a first side surface of the first insulator is included on a second side surface of the first conductor. The second insulator is included in a region including a second side surface and a top surface of the first insulator, a top surface of the first conductor, and the second surface of the first semiconductor. The third insulator is included on a formation surface of the second insulator, and the fourth insulator is included on a formation surface of the third insulator. The second conductor is included in a region overlapping the second surface of the first semiconductor in a region where the fourth insulator is formed.
    Type: Grant
    Filed: June 21, 2018
    Date of Patent: June 20, 2023
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hajime Kimura
  • Patent number: 11600697
    Abstract: A semiconductor device is proposed. The semiconductor device includes a semiconductor body including a first main surface. A plurality of trench electrode structures extend in parallel along a first lateral direction. A first one of the plurality of trench electrode structures includes a gate electrode. A gate contact is electrically connected to the gate electrode in a gate contact area. The gate contact area is arranged in a first section along the first lateral direction. An isolation structure is arranged between the gate contact and the semiconductor body in the gate contact area. A bottom side of the isolation structure is arranged between a bottom side of the first one of the plurality of trench electrode structures and the first main surface along a vertical direction. The gate contact extends up to or below the first main surface along the vertical direction.
    Type: Grant
    Filed: August 12, 2020
    Date of Patent: March 7, 2023
    Assignee: Infineon Technologies Austria AG
    Inventor: Alim Karmous
  • Patent number: 11594463
    Abstract: A semiconductor device package structure is provided. The semiconductor device package structure includes a substrate having a first layer over a second layer. The first layer may have greater thermal conductivity than the second layer. The semiconductor device package structure further includes one or more dies coupled to the substrate. A heat spreader may have a first section coupled to a first surface of a first die of the one or more dies, and a second section coupled to the first layer.
    Type: Grant
    Filed: October 11, 2018
    Date of Patent: February 28, 2023
    Assignee: Intel Corporation
    Inventors: Nicholas Neal, Nicholas Haehn
  • Patent number: 11456176
    Abstract: A device includes a semiconductor substrate, a gate dielectric over the semiconductor substrate, and a gate electrode over the gate dielectric. The gate electrode has a first portion having a first thickness, and a second portion having a second thickness smaller than the first thickness. The device further includes a source/drain region on a side of the gate electrode with the source/drain region extending into the semiconductor substrate, and a device isolation region. The device isolation region has a part having a sidewall contacting a second sidewall of the source/drain region to form an interface. The interface is overlapped by a joining line of the first portion and the second portion of the gate electrode.
    Type: Grant
    Filed: November 15, 2019
    Date of Patent: September 27, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Min-Feng Kao, Szu-Ying Chen, Dun-Nian Yaung, Jen-Cheng Liu, Tzu-Hsuan Hsu, Feng-Chi Hung
  • Patent number: 11398547
    Abstract: A JBS diode includes a substrate; a first semiconductor layer arranged on a first face of the substrate and having a first type of conductivity, the first semiconductor layer including a projecting portion delimited by a trench; a second semiconductor layer arranged on the projecting portion and having a second type of conductivity opposite to the first type of conductivity; an electrically insulating layer arranged at the bottom of the trench; a first electrode including a first portion in Schottky contact with the first semiconductor layer, the first portion being arranged on the electrically insulating layer and against a side wall of the projecting portion of the first semiconductor layer; a second portion in ohmic contact with the second semiconductor layer; a second electrode in ohmic contact with the substrate.
    Type: Grant
    Filed: April 14, 2021
    Date of Patent: July 26, 2022
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventor: Julien Buckley
  • Patent number: 10593628
    Abstract: Various multi-die arrangements and methods of manufacturing the same are disclosed. In one aspect, a method of manufacturing a semiconductor chip device is provided. A redistribution layer (RDL) structure is fabricated with a first side and second side opposite to the first side. An interconnect chip is mounted on the first side of the RDL structure. A first semiconductor chip and a second semiconductor chip are mounted on the second side of the RDL structure after mounting the interconnect chip. The RDL structure and the interconnect chip electrically connect the first semiconductor chip to the second semiconductor chip.
    Type: Grant
    Filed: April 24, 2018
    Date of Patent: March 17, 2020
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Milind S. Bhagavat, Rahul Agarwal
  • Patent number: 9721892
    Abstract: A semiconductor device and method for manufacturing the same are provided. The method includes providing a semiconductor substrate, forming a porous low-k dielectric layer on the semiconductor substrate, forming a through-hole and a trench of a copper interconnect structure, performing a helium plasma treatment on an exposed surface of the porous low-k dielectric layer, performing a nitrogen plasma treatment on the exposed surface of the porous low-k dielectric layer to form a silicon nitride layer, performing an argon plasma treatment on the silicon nitride layer, and forming a diffusion barrier layer on bottoms and sidewalls of the through-hole and the trench of the copper interconnect structure. Through the successive helium, nitrogen and argon plasma treatments, the low-k dielectric layer has a smooth and dense surface that increases the adhesion strength between the low-k dielectric layer and the diffusion barrier layer to improve reliability and yield of the semiconductor device.
    Type: Grant
    Filed: July 31, 2015
    Date of Patent: August 1, 2017
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ming Zhou
  • Patent number: 9647060
    Abstract: A method for fabricating isolation device is disclosed. The method includes the steps of: providing a substrate; forming a shallow trench isolation (STI) in the substrate, the STI includes a first STI and a second STI, and the first STI surrounds a first device region and the second STI surrounds a second device region; forming a first doped region between and contact the first STI and the second STI; and forming a first gate structure on the first doped region, the first STI and the second STI.
    Type: Grant
    Filed: September 20, 2015
    Date of Patent: May 9, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Shih-Yin Hsiao, Kuan-Liang Liu
  • Patent number: 9627526
    Abstract: A semiconductor device having a plurality of transistors includes a termination area that features a transistor with an asymmetric gate.
    Type: Grant
    Filed: March 24, 2014
    Date of Patent: April 18, 2017
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Yeeheng Lee, Yongping Ding, Xiaobin Wang
  • Patent number: 9627473
    Abstract: III-nitride materials are generally described herein, including material structures comprising III-nitride material regions and silicon-containing substrates. Certain embodiments are related to gallium nitride materials and material structures comprising gallium nitride material regions and silicon-containing substrates.
    Type: Grant
    Filed: September 8, 2015
    Date of Patent: April 18, 2017
    Assignee: MACOM Technology Solutions Holdings, Inc.
    Inventors: John Claassen Roberts, Kevin J. Linthicum, Allen W. Hanson, James W. Cook, Jr.
  • Patent number: 9601387
    Abstract: Methods of forming a PFET dielectric cap with varying concentrations of H2 reactive gas and the resulting devices are disclosed. Embodiments include forming p-type and n-type metal gate stacks, each surrounded by SiN spacers; forming an ILD surrounding the SiN spacers; planarizing the ILD, the metal gate stacks, and the SiN spacers; determining at least one desired threshold voltage for the p-type metal gate stack; forming a first cavity in the p-type metal gate stack for each desired threshold voltage and a second cavity in the n-type metal gate stack; selecting a first nitride layer for each first cavity, the first nitride layer for each cavity having a concentration of hydrogen reactive gas based on the desired threshold voltage associated with the cavity; forming the first nitride layers in the respective first cavities; and forming a second nitride layer, with a hydrogen rich reactive gas, in the second cavity.
    Type: Grant
    Filed: January 3, 2014
    Date of Patent: March 21, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Xiuyu Harry Cai, Chanro Park, Hoon Kim
  • Publication number: 20150145551
    Abstract: On an EP substrate 1, an EP layer 2 having a conductivity type different from that of the EP substrate 1 is grown. With ion implantation, a well 5 having the same conductivity type as the EP layer 2 is formed, and a channel stop layer 10 is also formed. A dopant having a conductivity type different from that of the well 5 is diffused in the well 5 to form a pn junction 7 in the well 5. A plurality of cells 20 each having the diffusion layer 6 as one electrode and a rear surface 1a as the other electrode are formed as a TEG. Using the TEG, junction leakage currents from two depletion layers, a depletion layer 8 in the well and a depletion layer 4 at an interface between the EP layer 2 and the EP substrate 1, are measured.
    Type: Application
    Filed: April 25, 2013
    Publication date: May 28, 2015
    Applicant: SHIN-ETSU HANDOTAI CO., LTD
    Inventor: Tsuyoshi Ohtsuki
  • Patent number: 8969954
    Abstract: A semiconductor device including a cell region and a peripheral region around the cell region, the cell region including: a first semiconductor layer having a first conductivity type; a second semiconductor layer which is formed in an island shape on the surface of the first semiconductor layer and has a second conductivity type different from the first conductivity type; a third semiconductor layer which is formed in an island shape on the surface of the second semiconductor layer and has the first conductivity type; and a plurality of gate trenches penetrating the second semiconductor layer and reaching the inside of the first semiconductor layer, and a termination layer which is formed in an island shape in the surface of the first semiconductor layer and has the first conductivity type.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: March 3, 2015
    Assignee: Sanken Electric Co., Ltd.
    Inventor: Shigenobu Matsuda
  • Patent number: 8901748
    Abstract: An external direct connection usable for an embedded interconnect bridge package is described. In one example, a package has a substrate, a first semiconductor die having a first bridge interconnect region, and a second semiconductor die having a second bridge interconnect region. The package has a bridge embedded in the substrate, the bridge having a first contact area to connect to the first bridge interconnect region and a second contact area to connect to the second bridge interconnect region, and an external connection rail extending between the interconnect bridge and the first and second semiconductor dies to supply external connection to the first and second bridge interconnect regions.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: December 2, 2014
    Assignee: Intel Corporation
    Inventors: Mathew J. Manusharow, Debendra Mallik
  • Patent number: 8823853
    Abstract: An image sensor includes a charge accumulation region of a first conductivity type, an isolating semiconductor region formed from an impurity semiconductor region of a second conductivity type, a channel stop region formed from an impurity semiconductor region of the second conductivity type which is located on the isolating semiconductor region, and an insulator arranged on the channel stop region. The insulator includes a first insulating portion arranged above the isolating semiconductor region via the channel stop region, a second insulating portion arranged adjacent to an outside of the first insulating portion, wherein thickness of the second insulating potion decreases with an increase in distance from the first insulating portion, and a third insulating portion formed on the first insulating portion, wherein the third insulating portion has upper and side faces connecting the upper face to an upper face of the second insulating portion.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: September 2, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Akihiro Kawano
  • Patent number: 8809955
    Abstract: Semiconductor structures and methods for manufacturing the same are disclosed. The semiconductor structure comprises: a gate stack formed on a semiconductor substrate; a super-steep retrograde island embedded in said semiconductor substrate and self-aligned with said gate stack; and a counter doped region embedded in said super-steep retrograde island, wherein said counter doped region has a doping type opposite to a doping type of said super-steep retrograde island. The semiconductor structures and the methods for manufacturing the same facilitate alleviating short channel effects.
    Type: Grant
    Filed: April 26, 2011
    Date of Patent: August 19, 2014
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Binneng Wu, Weiping Xiao, Hao Wu, Qingqing Liang
  • Patent number: 8796802
    Abstract: Semiconductor photodetectors are provided that may enable optimized usage of an active detector array. The semiconductor photodetectors may have a structure that can be produced and/or configured as simply as possible. A radiation detector system is also provided.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: August 5, 2014
    Assignee: First Sensor AG
    Inventors: Michael Pierschel, Frank Kudella
  • Publication number: 20140183707
    Abstract: An integrated circuit is formed by providing a heavily doped substrate of a first conductivity type, forming a lightly doped lower epitaxial layer of the first conductivity type over the substrate, implanting dopants of the first conductivity type into the lower epitaxial layer in an area for a shallow component and blocking the dopants from an area for a deep component, forming a lightly doped upper epitaxial layer over the lower epitaxial layer and activating the implanted dopants to form a heavily doped region. The shallow component is formed over the heavily doped region, and the deep component is formed outside the heavily doped region, extending through the upper epitaxial layer into the lower epitaxial layer.
    Type: Application
    Filed: December 10, 2013
    Publication date: July 3, 2014
    Inventors: James Fred SALZMAN, Charles Clayton HADSELL
  • Patent number: 8487412
    Abstract: For simplifying the dual-damascene formation steps of a multilevel Cu interconnect, a formation step of an antireflective film below a photoresist film is omitted. Described specifically, an interlayer insulating film is dry etched with a photoresist film formed thereover as a mask, and interconnect trenches are formed by terminating etching at the surface of a stopper film formed in the interlayer insulating film. The stopper film is made of an SiCN film having a low optical reflectance, thereby causing it to serve as an antireflective film when the photoresist film is exposed.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: July 16, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Katsuhiko Hotta, Kyoko Sasahara
  • Publication number: 20130037922
    Abstract: An integrated circuit chip is formed with a circuit layer, a trap rich layer and through-semiconductor-vias. The trap rich layer is formed above the circuit layer. The through-semiconductor-vias are also formed above the circuit layer. In some embodiments, the circuit layer is included in a wafer, and the trap rich layer and through-semiconductor-vias are included in another wafer. The two wafers are bonded together after formation of the trap rich layer and through-semiconductor-vias. Additionally, in some embodiments, yet another wafer may also be bonded to the wafer that includes the trap rich layer and through-semiconductor-vias. Furthermore, in some embodiments, another circuit layer may be formed in the wafer that includes the trap rich layer and through-semiconductor-vias.
    Type: Application
    Filed: October 15, 2012
    Publication date: February 14, 2013
    Applicant: IO SEMICONDUCTOR, INC.
    Inventor: IO SEMICONDUCTOR, INC.
  • Patent number: 8138581
    Abstract: A semiconductor device is provided which includes a semiconductor substrate having a first surface, an active area and a peripheral area. The semiconductor device further includes least one channel stop trench formed in the semiconductor substrate, wherein the channel stop trench extends from the first surface at least partially into the semiconductor substrate and is arranged between the active area and the peripheral area. At least one electrode is arranged in the channel stop trench. The semiconductor substrate includes at least a peripheral contact region, which is arranged in the peripheral area at the first surface of the semiconductor substrate. A conductive layer is provided and in electrical contact with the electrode arranged in the channel stop trench and in electrical contact with the peripheral contact region. The conductive layer is electrically connected to the semiconductor substrate merely in the peripheral area and electrically insulated from the semiconductor substrate in the active area.
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: March 20, 2012
    Assignee: Infineon Technologies Austria AG
    Inventor: Franz Hirler
  • Patent number: 7872316
    Abstract: Disclosed herein is a semiconductor device including a gate insulating film formed over a semiconductor substrate, and a gate electrode formed over the gate insulating film, wherein the gate insulating film is so provided as to protrude from both sides of the gate electrode, and the gate electrode includes a wholly silicided layer.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: January 18, 2011
    Assignee: Sony Corporation
    Inventor: Toshihiko Iwata
  • Publication number: 20110006407
    Abstract: A semiconductor device is provided which includes a semiconductor substrate having a first surface, an active area and a peripheral area. The semiconductor device further includes least one channel stop trench formed in the semiconductor substrate, wherein the channel stop trench extends from the first surface at least partially into the semiconductor substrate and is arranged between the active area and the peripheral area. At least one electrode is arranged in the channel stop trench. The semiconductor substrate includes at least a peripheral contact region, which is arranged in the peripheral area at the first surface of the semiconductor substrate. A conductive layer is provided and in electrical contact with the electrode arranged in the channel stop trench and in electrical contact with the peripheral contact region. The conductive layer is electrically connected to the semiconductor substrate merely in the peripheral area and electrically insulated from the semiconductor substrate in the active area.
    Type: Application
    Filed: September 21, 2010
    Publication date: January 13, 2011
    Applicant: Infineon Technologies Austria AG
    Inventor: Franz HIRLER
  • Patent number: 7816229
    Abstract: A semiconductor device is provided which includes a semiconductor substrate having a first surface, an active area and a peripheral area. The semiconductor device further includes least one channel stop trench formed in the semiconductor substrate, wherein the channel stop trench extends from the first surface at least partially into the semiconductor substrate and is arranged between the active area and the peripheral area. At least one electrode is arranged in the channel stop trench. The semiconductor substrate includes at least a peripheral contact region, which is arranged in the peripheral area at the first surface of the semiconductor substrate. A conductive layer is provided and in electrical contact with the electrode arranged in the channel stop trench and in electrical contact with the peripheral contact region. The conductive layer is electrically connected to the semiconductor substrate merely in the peripheral area and electrically insulated from the semiconductor substrate in the active area.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: October 19, 2010
    Assignee: Infineon Technologies Austria AG
    Inventor: Franz Hirler
  • Patent number: 7759194
    Abstract: An electrically programmable device with embedded EEPROM and method for making thereof. The method includes providing a substrate including a first device region and a second device region, growing a first gate oxide layer in the first device region and the second device region, and forming a first diffusion region in the first device region and a second diffusion region and a third diffusion region in the second device region. Additionally, the method includes implanting a first plurality of ions to form a fourth diffusion region in the first device region and a fifth diffusion region in the second device region. The fourth diffusion region overlaps with the first diffusion region.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: July 20, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Yi-Peng Chan, Sheng-He Huang, Zhen Yang
  • Publication number: 20100078719
    Abstract: A semiconductor device in which a desired device is formed, comprising a semiconductor substrate having a first impurity region of a first conductivity type provided around an edge of a region in which the desired device is formed, and a second impurity region of the first conductivity type provided in a scribe region of the semiconductor substrate; wherein a channel stopper is formed between the first impurity region and the second impurity region.
    Type: Application
    Filed: September 30, 2009
    Publication date: April 1, 2010
    Inventor: Kazuma YOSHIDA
  • Publication number: 20100078774
    Abstract: A semiconductor device is provided which includes a semiconductor substrate having a first surface, an active area and a peripheral area. The semiconductor device further includes least one channel stop trench formed in the semiconductor substrate, wherein the channel stop trench extends from the first surface at least partially into the semiconductor substrate and is arranged between the active area and the peripheral area. At least one electrode is arranged in the channel stop trench. The semiconductor substrate includes at least a peripheral contact region, which is arranged in the peripheral area at the first surface of the semiconductor substrate. A conductive layer is provided and in electrical contact with the electrode arranged in the channel stop trench and in electrical contact with the peripheral contact region. The conductive layer is electrically connected to the semiconductor substrate merely in the peripheral area and electrically insulated from the semiconductor substrate in the active area.
    Type: Application
    Filed: September 30, 2008
    Publication date: April 1, 2010
    Applicant: Infineon Technologies Austria AG
    Inventor: Franz Hirler
  • Publication number: 20090127672
    Abstract: A susceptor for epitaxial layer forming apparatus provided in a layer forming chamber of an epitaxial layer forming apparatus includes: a recessed portion which is provided to accommodate a semiconductor wafer therein and has an approximately circular shape in plan view; and a protruding portion which is provided in the recessed portion in order to support the semiconductor wafer and has an approximately circular shape in plan view. The diameter of the protruding portion is smaller than that of the recessed portion, and the diameter of the protruding portion is set to be a size allowing reaction gas supplied for vapor-phase growth reaction to circulate through an entire boundary between the protruding portion and the semiconductor wafer when the semiconductor wafer is placed in the recessed portion.
    Type: Application
    Filed: October 30, 2008
    Publication date: May 21, 2009
    Applicant: SUMCO CORPORATION
    Inventor: Hideaki Kinbara
  • Publication number: 20080135987
    Abstract: A gate conductor structure is provided having a barrier region between a N-type device and a P-type device, wherein the barrier region minimizes or eliminates cross-diffusion of dopant species across the barrier region. The barrier region comprises at least one sublithographic gap in the gate conductor structure. The sublithographic gap is formed by using self-assembling copolymers to form a sublithographic patterned mask over the gate conductor structure. According to one embodiment, at least one sublithographic gap is a slit or line that traverses the width of the gate conductor structure. The sublithographic gap is sufficiently deep to minimize or prevent cross-diffusion of the implanted dopant from the upper portion of the gate conductor. According to another embodiment, the sublithographic gaps are of sufficient density that cross-diffusion of dopants is reduced or eliminated during an activation anneal such that changes in Vt are minimized.
    Type: Application
    Filed: December 12, 2006
    Publication date: June 12, 2008
    Applicant: International Business Machines Corporation
    Inventors: Wai-Kin Li, Haining Yang
  • Patent number: 7220994
    Abstract: A method for fabricating an in-plane switching LCD device includes forming a data line and a light-shielding layer on a substrate, forming a pixel electrode line and an active region with a polycrystalline silicon thin film, forming a first insulating layer on the substrate, forming a gate electrode and a common electrode line on the first insulating layer, forming a second insulating layer on the substrate, forming a first contact hole that exposes at least portions of the data line and the active region, and forming a connection electrode that connects at least portions of the exposed data line and the active region.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: May 22, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Byeong Koo Kim, Yong Min Ha, Hun Jeoung
  • Patent number: 7151306
    Abstract: A surface of an external electrode 3 of an electronic part 4 is formed with a coating containing resin ingredient. Thereby, adhesion strength and reliability may be significantly improved in mounting an electronic part onto a circuit board 1 through the medium of a conductive adhesive. Further, it will be able to mount an electronic part to an element to be mounted by utilizing a conductive adhesive forming an external electrode 3 as a connecting element. Further, surface roughness (Ra) of an external electrode 3 of an electronic part is set to 0.1 ?m or more and to 10.0 ?m or less and preferably to 1.0 ?m or more and to 5.0 ?m or less. Thereby, adhesion strength with a conductive adhesive may be significantly enhanced in comparison with a conventional electronic part presented.
    Type: Grant
    Filed: December 13, 2004
    Date of Patent: December 19, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takashi Kitae, Tsutomu Mitani, Yukihiro Ishimaru, Hiroaki Takezawa
  • Patent number: 7042049
    Abstract: A new method of forming a composite etching stop layer is described. An etching stop layer is deposited on a substrate wherein the etching stop layer is selected from the group consisting of: silicon carbide, silicon nitride, SiCN, SiOC, and SiOCN. A TEOS oxide layer is deposited by plasma-enhanced chemical vapor deposition overlying the etching stop layer. The composite etching stop layer has improved moisture resistance, better etching selectivity, and lower dielectric constant than other etching stop layers.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: May 9, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lain-Jong Li, Tien-I Bao, Shwang-Ming Jeng, Syun-Ming Jang, Jun-Lung Huang, Jeng-Cheng Liu
  • Patent number: 6953961
    Abstract: A dynamic random access memory (DRAM) structure and a fabricating process thereof are provided. In the fabricating process, a channel region is formed with a doped region having identical conductivity as the substrate in a section adjacent to an isolation structure. The doped region is formed in a self-aligned process by conducting a tilt implantation implanting ions into the substrate through the upper portion of the capacitor trench adjacent to the channel region after forming the trench but before the definition of the active region.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: October 11, 2005
    Assignee: Promos Technologies Inc.
    Inventors: Yueh-Chuan Lee, Shih-Lung Chen
  • Patent number: 6924228
    Abstract: A method of forming a via contact structure using a dual damascene technique is provided. The method includes forming a lower interconnection line on a semiconductor substrate and sequentially forming an inter-metal dielectric layer and a hard mask layer on the semiconductor substrate having the lower interconnection line. The hard mask layer and the inter-metal dielectric layer are successivley patterned to form a via hole that exposes the lower interconnnection line. A sacrificial layer filling the via hole is formed on the hard mask layer. The sacrificial layer and the hard mask layer are patterned to form a first sacrificial layer pattern having an opening that crosses over the via hole and a second sacrificial layer pattern that remains in the via hole and to simultaneously form a hard mask pattern underneath the first sacrificial layer pattern.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: August 2, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Il-Goo Kim, Sang-Rok Hah
  • Patent number: 6914320
    Abstract: An advanced back-end-of-line (BEOL) metallization structure is disclosed. The structure includes a bilayer diffusion barrier or cap, where the first cap layer is formed of a dielectric material preferably deposited by a high density plasma chemical vapor deposition (HDP CVD) process, and the second cap layer is formed of a dielectric material preferably deposited by a plasma-enhanced chemical vapor deposition (PE CVD) process. A method for forming the BEOL metallization structure is also disclosed. The invention is particularly useful in interconnect structure comprising low-k dielectric material for the inter-layer dielectric (ILD) and copper for the conductors.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: July 5, 2005
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Tze-Chiang Chen, Brett H. Engel, John A. Fitzsimmons, Terence Kane, Naftall E. Lustig, Ann McDonald, Vincent McGahay, Soon-Cheon Seo, Anthony K. Stamper, Yun Yu Wang, Erdem Kaltalioglu
  • Patent number: 6887783
    Abstract: An advanced back-end-of-line (BEOL) metallization structure is disclosed. The structure includes a bilayer diffusion barrier or cap, where the first cap layer is formed of a dielectric material preferably deposited by a high density plasma chemical vapor deposition (HDP CVD) process, and the second cap layer is formed of a dielectric material preferably deposited by a plasma-enhanced chemical vapor deposition (PE CVD) process. A method for forming the BEOL metallization structure is also disclosed. The invention is particularly useful in interconnect structures comprising low-k dielectric material for the inter-layer dielectric (ILD) and copper for the conductors.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: May 3, 2005
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Tze-Chiang Chen, Brett H. Engel, John A. Fitzsimmons, Terence Kane, Naftall E. Lustig, Ann McDonald, Vincent McGahay, Soon-Cheon Seo, Anthony K. Stamper, Yun Yu Wang, Erdem Kaltalioglu
  • Patent number: 6667553
    Abstract: This invention pertains to a method for producing hydrogenated silicon oxycarbide (H:SiOC) films having low dielectric constant and a light transmittance of 95% or more for light with a wavelength in the range of 400 nm to 800 nm. The method comprises reacting a methyl-containing silane in a controlled oxygen environment using plasma enhanced or ozone assisted chemical vapor deposition to produce the films. Because of the transmittance the resulting films are useful in the formation of display devices.
    Type: Grant
    Filed: November 21, 2001
    Date of Patent: December 23, 2003
    Assignee: Dow Corning Corporation
    Inventors: Glenn Allen Cerny, Byung Keun Hwang, Mark Jon Loboda
  • Patent number: 6593655
    Abstract: This invention pertains to a method for producing hydrogenated silicon oxycarbide (H:SiOC) films having low dielectric constant. The method comprises reacting an methyl-containing silane in a controlled oxygen environment using plasma enhanced or ozone assisted chemical vapor deposition to produce the films. The resulting films are useful in the formation of semiconductor devices and have a dielectric constant of 3.6 or less.
    Type: Grant
    Filed: August 14, 2000
    Date of Patent: July 15, 2003
    Assignee: Dow Corning Corporation
    Inventors: Mark Jon Loboda, Jeffrey Alan Seifferly
  • Patent number: 6563197
    Abstract: Guard ring diffusions in the termination of a MOSgated device are laterally spaced from one another and are disposed beneath and are insulated from the termination field plate which extends from the periphery of the device active area.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: May 13, 2003
    Assignee: International Rectifier Corporation
    Inventors: Kenneth Wagers, Yanping Ma, Jianjun Cao
  • Patent number: 6518635
    Abstract: A major object of the present invention is to provide an improved semiconductor device so as to be able to reduce gate electric field concentration at a channel edge, suppress decrease in the threshold during MOSFET operation and reduce the leakage current. A gate insulation film is formed on a semiconductor substrate. A gate electrode is formed on the semiconductor substrate with the gate insulation film therebetween. The dielectric constant of the gate insulation film is not uniform in the surface.
    Type: Grant
    Filed: July 6, 2000
    Date of Patent: February 11, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Katsuomi Shiozawa, Toshiyuki Oishi, Yuji Abe, Yasunori Tokuda
  • Patent number: 6501155
    Abstract: To provide a semiconductor apparatus that secures high ESD protection capability and yet reduces leak current. Cut sections 64-1 and 64-2 are provided in end sections of a second edge 62 of a drain region 22. When a distance between a first edge 60 of a source region 20 and the second edge 62 in an intermediate area is defined as L1, a distance between the first edge 60 and end edges 52-1 and 52-2 of a channel stopper non-implanted region 50 is defined as L1, a relation of L2? L1 is established. By providing the channel stopper non-implanted region 50, the ESD protection capability is improved. Also, by providing the cut sections 64-1 and 64-2 in a manner to satisfy the relation that is L2 is not less than L1, leak current is reduced. The source region 20 may also be provided with a cut section.
    Type: Grant
    Filed: November 23, 1998
    Date of Patent: December 31, 2002
    Assignee: Seiko Epson Corporation
    Inventor: Kazuhiko Okawa
  • Patent number: 6465867
    Abstract: An integrated circuit and manufacturing method therefor is provided having a semiconductor substrate with a semiconductor device. A device dielectric layer is formed on the semiconductor substrate. A channel dielectric layer on the device dielectric layer has an opening formed therein. A barrier layer, which has been implanted with a compounding material, lines the channel opening. A conductor core fills the opening over the barrier layer. The barrier layer having a dielectric layer proximate portion of a barrier compound varying into a conductor core proximate portion of a pure barrier material.
    Type: Grant
    Filed: February 21, 2001
    Date of Patent: October 15, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Joffre F. Bernard, Sergey D. Lopatin
  • Patent number: 6452285
    Abstract: Various circuit devices for contact defect inspection and methods of fabrication and use thereof are provided. In one aspect, a circuit device is provided that includes a substrate and an insulating film positioned on the substrate that has a plurality of openings therein. A plurality of members is provided. Each of the plurality of members is positioned in one of the plurality of openings and has known dimensions. The plurality of members provide a known set of defects in the plurality of openings to facilitate inspection of the plurality of openings. The circuit device may be used to accurately calibrate inspection tools to detect contact defects.
    Type: Grant
    Filed: October 17, 2000
    Date of Patent: September 17, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael McCarthy, David Cooper
  • Patent number: 6333548
    Abstract: There are provided a semiconductor device and a method for manufacturing the same in which a thin film polysilicon film having a small parasitic capacitance which is required for attaining the high-speed operation and high reliability can be used as a resistance element, the process margin can be increased without increasing the number of manufacturing steps, and defects due to leakage between the resistance element and the underlying substrate can be eliminated so as to ensure the high manufacturing yield. In a semiconductor device having a conductive film formed over the surface of a semiconductor substrate with a first insulating film disposed therebetween and a metal wiring layer connected to the conductive film via a contact hole formed in a second insulating film which is formed on the conductive film, an etching stopper film having a selective etching ratio with respect to the second insulating film is formed in an area directly below the contact hole with a third insulating film disposed therebetween.
    Type: Grant
    Filed: October 25, 1999
    Date of Patent: December 25, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tomoko Yamane, Norihisa Arai
  • Publication number: 20010050415
    Abstract: An method and apparatus for high voltage control of isolation region transistors (320) in an integrated circuit. Isolation region transistors (320) are formed between active devices by selective implantation of channel stop implants (140). Isolation region transistors (320) are those areas with a conductor (130) over an isolation region (120) with no channel stop implant (140). This provides an isolation region transistor (320) with a lower threshold voltage than the areas with channel stop implant (140). The voltage threshold of the isolation region transistors 320 are adjustable to a range of voltages by varying the length of channel stop implant (140). The apparatus may be fabricated using conventional fabrication processes.
    Type: Application
    Filed: January 30, 1998
    Publication date: December 13, 2001
    Inventor: DOMINIK J. SCHMIDT
  • Publication number: 20010020732
    Abstract: A vertical semiconductor component having a semiconductor body of a first conductivity type is described. In a surface region of the semiconductor body, at least one zone of a second conductivity type, opposite to the first conductivity type, is embedded. Regions of the second conductivity type are provided in the semiconductor body in a plane running substantially parallel to the surface of the surface region. The regions are in this case sufficiently highly doped that they cannot be depleted of charge carriers when a voltage is applied.
    Type: Application
    Filed: January 8, 2001
    Publication date: September 13, 2001
    Inventors: Gerald Deboy, Heinz Mitlehner, Jeno Tihanyi