Conductor-insulator-conductor Capacitor On Semiconductor Substrate (epo) Patents (Class 257/E29.343)
  • Patent number: 8552527
    Abstract: An electronic circuit includes a filtering circuit implemented with a distributed inductor-and-capacitor (LC) network that includes metal oxide effect (MOS) trenches opened in a semiconductor substrate filled with dielectric material for functioning as capacitors for the distributed LC network. The electronic circuit further includes a transient voltage suppressing (TVS) circuit integrated with the filtering circuit that functions as a low pass filter wherein the TVS circuit includes a bipolar transistor triggered by a diode disposed in the semiconductor substrate. The distributed LC network further includes metal coils to function as inductors disposed on a top surface of the semiconductor electrically contacting the MOS trenches.
    Type: Grant
    Filed: February 20, 2012
    Date of Patent: October 8, 2013
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventor: Madhur Bobde
  • Patent number: 8551857
    Abstract: The technique for manufacturing a high-capacitance and high-accuracy MIM electrostatic capacitor by a small number of steps is provided. After a lower electrode of the electrostatic capacitor and second wiring are formed at the same time on a first interlayer insulating film, an opening part is formed in a second interlayer insulating film deposited on the first interlayer insulating film. Next, a capacitance insulating film, a second metal film and a protective metal film are sequentially deposited on the second interlayer insulating film including the interior of the opening part, and the protective metal film, the second metal film and the capacitance insulating film on the second interlayer insulating film are polished and removed by a CMP method, thereby causing the capacitance insulating film, an upper electrode made of the second metal film and the protective metal film to remain in the opening part.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: October 8, 2013
    Assignees: Hitachi, Ltd., Asahi Kasei Microdevices Corporation
    Inventors: Yuji Imamura, Tsuyoshi Fujiwara, Toyohiko Kuno
  • Publication number: 20130256835
    Abstract: Disclosed herein are embodiments of non-planar capacitor. The non-planar capacitor can comprise a plurality of fins above a semiconductor substrate. Each fin can comprise at least an insulator section on the semiconductor substrate and a semiconductor section, which has essentially uniform conductivity, stacked above the insulator section. A gate structure can traverse the center portions of the fins. This gate structure can comprise a conformal dielectric layer and a conductor layer (e.g., a blanket or conformal conductor layer) on the dielectric layer. Such a non-planar capacitor can exhibit a first capacitance, which is optionally tunable, between the conductor layer and the fins and a second capacitance between the conductor layer and the semiconductor substrate. Also disclosed herein are method embodiments, which can be used to form such a non-planar capacitor and which are compatible with current state of the art multi-gate non-planar field effect transistor (MUGFET) processing.
    Type: Application
    Filed: March 30, 2012
    Publication date: October 3, 2013
    Applicant: International Business Machines Corporation
    Inventors: James P. Di Sarro, Robert J. Gauthier, JR., Tom C. Lee, Junjun Li, Souvick Mitra, Christopher S. Putnam
  • Patent number: 8546233
    Abstract: A method produces integrated circuit arrangement that includes an undulating capacitor in a conductive structure layer. The surface area of the capacitor is enlarged in comparison with an even capacitor. The capacitor is interlinked with dielectric regions at its top side and/or its underside, so that it can be produced by methods which may not have to be altered in comparison with conventional CMP methods.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: October 1, 2013
    Assignee: Infineon Technologies AG
    Inventor: Anton Steltenpohl
  • Patent number: 8541867
    Abstract: A structure includes a first metallic electrode, a dielectric film formed over the first metallic electrode, and a second metallic electrode formed over the dielectric film. The second metallic electrode includes an oxygen scavenging material. The oxygen scavenging material is selected such that an oxygen density decreases in a region between the first metallic electrode and the second metallic electrode responsive to elevating a temperature of the first metallic electrode, the dielectric film, and the second metallic electrode.
    Type: Grant
    Filed: June 28, 2011
    Date of Patent: September 24, 2013
    Assignee: International Business Machines Corporation
    Inventor: Martin M. Frank
  • Patent number: 8541770
    Abstract: Select devices including an open volume that functions as a high bandgap material having a low dielectric constant are disclosed. The open volume may provide a more nonlinear, asymmetric I-V curve and enhanced rectifying behavior in the select devices. The select devices may comprise, for example, a metal-insulator-insulator-metal (MIIM) diode. Various methods may be used to form select devices and memory systems including such select devices. Memory devices and electronic systems include such select devices.
    Type: Grant
    Filed: August 16, 2011
    Date of Patent: September 24, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Bhaskar Srinivasan, Gurtej S. Sandhu
  • Patent number: 8536678
    Abstract: A method of manufacturing a semiconductor die having a substrate with a front side and a back side includes fabricating openings for through substrate vias on the front side of the semiconductor die. The method also includes depositing a first conductor in the through substrate vias, depositing a dielectric on the first conductor and depositing a second conductor on the dielectric. The method further includes depositing a protective insulator layer on the back side of the substrate covering the through substrate vias.
    Type: Grant
    Filed: October 17, 2012
    Date of Patent: September 17, 2013
    Assignee: QUALCOMM Incorporated
    Inventors: Matthew Michael Nowak, Shiqun Gu
  • Publication number: 20130234288
    Abstract: A method for manufacturing a MIM capacitor trench structure includes forming a lower metal film on an inter-metal dielectric; forming a first inter-metal dielectric on the lower metal film; forming a first trench; sequentially forming a dielectric film and a first barrier metal film along the bottom surface and sidewalls of the first trench; and filling the first trench with a conductive material to form a first upper metal film. Further, the method includes forming a second inter-metal dielectric on the first upper metal film; forming a second trench; forming a via hole in a via hole region of the second inter-metal dielectric; forming a second barrier metal film along the bottom surface and sidewalls of the second trench; and filling the via hole and the second trench with the conductive material to form a via contact and a second upper metal film.
    Type: Application
    Filed: September 13, 2012
    Publication date: September 12, 2013
    Inventors: Sung Mo GU, Moon Hyung CHO, Young Sang KIM, Jong Bum PARK
  • Publication number: 20130234287
    Abstract: A high-precision capacitor includes a first degenerately doped polysilicon plate, a second degenerately doped polysilicon plate, and a dielectric material disposed between the first and the second degenerately doped polysilicon plates. The first degenerately doped polysilicon plate may be formed by performing POCL (phosphorus oxychloride) diffusion, and performing ion implantation through the POCL oxide to replenish the loss of dopants. The second degenerately doped polysilicon plate may be formed by performing POCL doping. The high-precision capacitor may exhibit a voltage coefficient of capacitance (VCC) comparable to a Metal-Insulator-Metal capacitor, however, with a dielectric of higher quality.
    Type: Application
    Filed: May 3, 2012
    Publication date: September 12, 2013
    Applicant: Dongbu HiTek Co., Ltd.
    Inventors: Badih EL-KAREH, JONG HO LEE, DONGSEOK KIM, CHANG EUN LEE, Jung-Joo KIM
  • Publication number: 20130221482
    Abstract: A capacitor suitable for inclusion in a semiconductor device includes a substrate, a first metallization level, a capacitor dielectric, a capacitor plate, an interlevel dielectric layer, and a second metallization level. The first metallization level overlies the substrate and includes a first metallization plate overlying a capacitor region of the substrate. The capacitor dielectric overlies the first metallization plate and includes a dielectric material such as a silicon oxide or silicon nitride compound. The capacitor plate is an electrically conductive structure that overlies the capacitor dielectric. The interlevel dielectric overlies the capacitor plate. The second metallization layer overlies the interlevel dielectric layer and may include a second metallization plate and a routing element. The routing element may be electrically connected to the capacitor plate. The metallization plates may include a fingered structure that includes a plurality of elongated elements extending from a cross bar.
    Type: Application
    Filed: February 23, 2012
    Publication date: August 29, 2013
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Xu Cheng, Todd C. Roggenbauer, Jiang-Kai Zuo
  • Patent number: 8519510
    Abstract: Semiconductor structures having integrated quadruple-wall capacitors for eDRAM and methods to form the same are described. For example, an embedded quadruple-wall capacitor includes a trench disposed in a first dielectric layer disposed above a substrate. The trench has a bottom and sidewalls. A quadruple arrangement of metal plates is disposed at the bottom of the trench, spaced apart from the sidewalls. A second dielectric layer is disposed on and conformal with the sidewalls of the trench and the quadruple arrangement of metal plates. A top metal plate layer is disposed on and conformal with the second dielectric layer.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: August 27, 2013
    Assignee: Intel Corporation
    Inventors: Brian S. Doyle, Uday Shah, Satyarth Suri, Ramanan V. Chebiam
  • Patent number: 8508020
    Abstract: A method for manufacturing a semiconductor device includes at least forming a lower electrode comprising titanium nitride on a semiconductor substrate, forming a dielectric film comprising zirconium oxide as a primary constituent on the lower electrode, forming a first protective film comprising a titanium compound on the dielectric film, and forming an upper electrode comprising titanium nitride on the first protective film. The method can include a step of forming a second protective film on the lower electrode before the step of forming the dielectric film on the lower electrode.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: August 13, 2013
    Assignee: Elpida Memory, Inc.
    Inventors: Toshiyuki Hirota, Takakazu Kiyomura
  • Patent number: 8492817
    Abstract: An improved trench structure, and method for its fabrication are disclosed. Embodiments of the present invention provide a trench in which the collar portion has an air gap instead of a solid oxide collar. The air gap provides a lower dielectric constant. Embodiments of the present invention can therefore be used to make higher-performance devices (due to reduced parasitic leakage), or smaller devices, due to the ability to use a thinner collar to achieve the same performance as a thicker collar comprised only of oxide (with no air gap). Alternatively, a design choice can be made to achieve a combination of improved performance and reduced size, depending on the application.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Anne Marie Ebert, Johnathan E. Faltermeier
  • Publication number: 20130181326
    Abstract: An improved semiconductor capacitor and method of fabrication is disclosed. A MIM stack, comprising alternating first-type and second-type metal layers (each separated by dielectric) is formed in a deep cavity. The entire stack can be planarized, and then patterned to expose a first area, and selectively etched to recess all first metal layers within the first area. A second selective etch is performed to recess all second metal layers within a second area. The etched recesses can be backfilled with dielectric. Separate electrodes can be formed; a first electrode formed in said first area and contacting all of said second-type metal layers and none of said first-type metal layers, and a second electrode formed in said second area and contacting all of said first-type metal layers and none of said second-type metal layers.
    Type: Application
    Filed: January 18, 2012
    Publication date: July 18, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Joseph Ervin, Chengwen Pei, Ravi M. Todi, Geng Wang
  • Patent number: 8487405
    Abstract: A high density deep trench MIM capacitor structure is provided wherein conductive-compressive-conformally applied layers of a semiconductor material, such as a Poly-SixGe1-x, are interleaved within MIM capacitor layers to counterbalance the tensile stresses created by such MIM capacitor layers. The interleaving of conductive-compressive-conformally applied material layers are adapted to counterbalance convex (upward) bowing of silicon wafers during the manufacturing process of high density deep trench MIM capacitor silicon devices to thereby help maximize production yields of such devices per wafer.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: July 16, 2013
    Assignee: Maxim Integrated Products, Inc.
    Inventors: Lei Tian, Scott Wilson Barry, Xuejun Ying
  • Publication number: 20130175665
    Abstract: A trench structure that in one embodiment includes a trench present in a substrate, and a dielectric layer that is continuously present on the sidewalls and base of the trench. The dielectric layer has a dielectric constant that is greater than 30. The dielectric layer is composed of tetragonal phase hafnium oxide with silicon present in the grain boundaries of the tetragonal phase hafnium oxide in an amount ranging from 3 wt. % to 20 wt. %.
    Type: Application
    Filed: January 6, 2012
    Publication date: July 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael P. Chudzik, Bachir Dirahoui, Rishikesh Krishnan, Siddarth A. Krishnan, Oh-jung Kwon, Paul C. Parries, Hongwen Yan
  • Publication number: 20130168812
    Abstract: A manufacturing method for memory capacitor having a robust moat, comprising the steps of: providing a substrate; forming a patterned sacrificial layer on the substrate having a moat to separate a cell area and a peripheral area; forming a supporting layer on the sacrificial layer and filling the moat to form a annular member, wherein the supporting layer and the sacrificial layer arranged in alignment to form a stack structure; forming a plurality row of capacitor trenches on the substrate, wherein the capacitor trenches are formed at intervals in the stack structure; and forming a conducting layer on the supporting layer and covering the substrate and the inner surface of the stack structure defining the capacitor trenches.
    Type: Application
    Filed: March 22, 2012
    Publication date: July 4, 2013
    Applicant: INOTERA MEMORIES, INC.
    Inventors: TZUNG-HAN LEE, CHUNG-LIN HUANG, RON-FU CHU
  • Patent number: 8470667
    Abstract: A method of manufacturing a semiconductor memory device includes forming a first capacitor using a metal oxide semiconductor (MOS) transistor, forming a second capacitor being a pillar type corresponding to a cell capacitor formed in a cell region, and forming a third capacitor over the first and the second capacitors.
    Type: Grant
    Filed: July 19, 2010
    Date of Patent: June 25, 2013
    Assignee: Hynix Semiconductor Inc
    Inventor: Dong Chul Koo
  • Patent number: 8471360
    Abstract: In a first aspect, a method of forming a metal-insulator-metal (“MIM”) stack is provided, the method including: (1) forming a dielectric material having an opening and a first conductive carbon layer within the opening; (2) forming a spacer in the opening; (3) forming a carbon-based switching material on a sidewall of the spacer; and (4) forming a second conductive carbon layer above the carbon-based switching material. A ratio of a cross sectional area of the opening in the dielectric material to a cross sectional area of the carbon-based switching material on the sidewall of the spacer is at least 5. Numerous other aspects are provided.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: June 25, 2013
    Assignee: SanDisk 3D LLC
    Inventors: Franz Kreupl, Er-Xuan Ping, Jingyan Zhang, Huiwen Xu
  • Patent number: 8461663
    Abstract: In a conventional semiconductor device, part of a dielectric film of a capacitive element is removed when photoresist is peeled off, and this causes problems of variation in capacitance value of the capacitive element and deterioration of breakdown voltage characteristics. In a semiconductor device according to the present invention, a silicon nitride film serving as a dielectric film is formed on the top face of a lower electrode of a capacitive element, and an upper electrode is formed on the top face of the silicon nitride film. The upper electrode is formed of a laminated structure having a silicon film and a polysilicon film protecting the silicon nitride film. This structure prevents part of the silicon nitride film from being removed when, for example, photoresist is peeled off, thereby preventing variation in capacitance value of the capacitive element and deterioration of the breakdown voltage characteristics.
    Type: Grant
    Filed: April 7, 2010
    Date of Patent: June 11, 2013
    Assignees: SANYO Electric Co., Ltd., SANYO Semiconductor Co., Ltd.
    Inventors: Reiki Fujimori, Mitsuru Soma
  • Publication number: 20130134554
    Abstract: Provided are vertical capacitors and methods of forming the same. The formation of the vertical capacitor may include forming input and output electrodes on a top surface of a substrate, etching a bottom surface of the substrate to form via electrodes, and then, forming a dielectric layer between the via electrodes. As a result, a vertical capacitor with high capacitance can be provided in a small region of the substrate.
    Type: Application
    Filed: September 14, 2012
    Publication date: May 30, 2013
    Applicant: ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE
    Inventors: Seong-il KIM, Sang-Heung LEE, Jong-Won LIM, Hyung Sup YOON, Jongmin LEE, Byoung-Gue MIN, Jae Kyoung MUN, Eun Soo NAM
  • Publication number: 20130127011
    Abstract: Passive devices such as resistors and capacitors are provided for a 3D non-volatile memory device. In a peripheral area of a substrate, a passive device includes alternating layers of a dielectric such as oxide and a conductive material such as heavily doped polysilicon or metal silicide in a stack. The substrate includes one or more lower metal layers connected to circuitry. One or more upper metal layers are provided above the stack. Contact structures extend from the layers of conductive material to portions of the one or more upper metal layers so that the layers of conductive material are connected to one another in parallel, for a capacitor, or serially, for a resistor, by the contact structures and the at least one upper metal layer. Additional contact structures can connect the circuitry to the one or more upper metal layers.
    Type: Application
    Filed: November 21, 2011
    Publication date: May 23, 2013
    Inventors: Masaaki Higashitani, Peter Rabkin
  • Patent number: 8441057
    Abstract: A method of patterning a metal (141, 341, 841) on a vertical sidewall (132, 332, 832) of an excavated feature (130, 330, 830) includes placing a material (350) in the excavated feature such that a portion (435) of the metal is exposed in the excavated feature above the material, etching the exposed portion of the metal away from the vertical sidewall using a first wet etch chemistry, and removing the material from the excavated feature by etching it away using a second wet etch chemistry. The described method may be used to produce a MIM capacitor (800) suitable for an eDRAM device.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: May 14, 2013
    Assignee: Intel Corporation
    Inventors: Steven J. Keating, Nick Lindert, Nadia Rahhal-Orabi, Brian Doyle, Satyarth Suri, Swaminathan Sivakumar, Lana Jong, Lin Sha
  • Publication number: 20130113073
    Abstract: An integrated circuit can include a MOM capacitor formed simultaneously with other devices, such as finFETs. A dielectric layer formed on a substrate has a first semiconductor fin therein and a second semiconductor fin therein. Respective top portions of the fins are removed to form respective recesses in the dielectric layer. First and second electrodes are formed in the recesses. The first and second electrodes and the interjacent dielectric layer form a MOM capacitor.
    Type: Application
    Filed: November 4, 2011
    Publication date: May 9, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Wen Liu, Chao-Hsiung Wang
  • Patent number: 8432020
    Abstract: Capacitors, systems, and methods are disclosed. In one embodiment, the capacitor includes a first electrode. The capacitor may also include a first insulator layer having a positive VCC adjacent to the first electrode. The capacitor may further include a second insulator layer having a negative VCC adjacent to the first insulator layer. The capacitor may also include a third insulator layer having a positive VCC adjacent to the second insulator layer. The capacitor may also include a second electrode adjacent to the third insulator layer.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: April 30, 2013
    Assignee: Sematech, Inc.
    Inventors: Chanro Park, Sangduk Park, Paul D. Kirsch, David Gilmer, Chang Yong Kang, Joel Barnett
  • Publication number: 20130099354
    Abstract: An improved semiconductor capacitor and method of fabrication is disclosed. Embodiments utilize a deep trench which is then processed by performing a pre-amorphous implant on the trench interior to transform the interior surface of the trench to amorphous silicon which eliminates the depletion region that can degrade capacitor performance.
    Type: Application
    Filed: October 24, 2011
    Publication date: April 25, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chengwen Pei, Roger Allen Booth, JR., Herbert Lei Ho, Naoyoshi Kusaba
  • Patent number: 8426286
    Abstract: A method of manufacturing a semiconductor integrated circuit device having low depletion ratio capacitor comprising: forming hemispherical grains (HSG) on a poly-silicon; doping the hemispherical grained polysilicon in a phosphine gas; and rapid thermal oxidizing the doped hemispherical grained polysilicon at 850° C. for 10 seconds. The method further comprises nitridizing the rapid thermal oxidized hemispherical-grained polysilicon and depositing a alumina film on the silicon nitride layer. A semiconductor integrated circuit device having a low depletion ratio capacitor according to the disclosed manufacturing method is provided.
    Type: Grant
    Filed: April 1, 2011
    Date of Patent: April 23, 2013
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Cheng Yang, Bo Tao, Jason Luo, Jingang Wu
  • Publication number: 20130093053
    Abstract: A trench-type PIP capacitor having a small step at the end part of the capacitor without increasing manufacturing cost, and a power integrated circuit device that uses such a trench-type PIP capacitor are disclosed. A method of manufacturing the power integrated circuit device also is disclosed. A trench-type PIP capacitor has a construction, in the surface region of a semiconductor substrate, comprising an isolating insulation layer formed on an inner wall of a trench and a first polysilicon that fills the trench through the isolating insulation layer and becomes a lower electrode. Since this construction has a small step formed at the end region of the capacitor, a metal layer for wiring does not need to be made excessively thick, allowing a fine structure of the metal layer. Therefore, the power IC provided with such a trench-type PIP capacitor can have a fine structure.
    Type: Application
    Filed: October 10, 2012
    Publication date: April 18, 2013
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventor: FUJI ELECTRIC CO., LTD.
  • Publication number: 20130093045
    Abstract: The present disclosure involves a semiconductor device. The semiconductor device includes a substrate; a capacitor disposed over the substrate; an inductor disposed over the substrate and having a coil feature surrounding the capacitor; and a shielding structure over the substrate and configured around the coil feature.
    Type: Application
    Filed: October 13, 2011
    Publication date: April 18, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Hsiu-Ying Cho
  • Publication number: 20130087841
    Abstract: A method and structure is directed to eDRAM cells with high-conductance electrodes. The method includes forming upper layers on a semiconductor substrate and forming an opening in the upper layers. The method further includes forming a trench in the semiconductor substrate, aligned with the opening.
    Type: Application
    Filed: October 10, 2011
    Publication date: April 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. BASKER, Richard Q. WILLIAMS
  • Publication number: 20130087885
    Abstract: A metal-oxide-metal capacitor comprises a first electrode, a second electrode, a plurality of first fingers and a plurality of second fingers. Each first finger and its corresponding second finger are in parallel and separated by a low k dielectric material. A via-hole region is employed to enclose the metal-oxide-metal capacitor so as to remove the moisture stored in the low k dielectric material.
    Type: Application
    Filed: October 7, 2011
    Publication date: April 11, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jiun-Jie Huang, Ling-Sung Wang, Chi-Yen Lin
  • Publication number: 20130082351
    Abstract: According to one exemplary embodiment, a method for fabricating a metal-insulator-metal (MIM) capacitor in a semiconductor die comprises forming a bottom capacitor electrode over a device layer situated below a first metallization layer of the semiconductor die, and forming a top capacitor electrode over an interlayer barrier dielectric formed over the bottom capacitor electrode. The top capacitor electrode is formed from a local interconnect metal for connecting devices formed in the device layer. In one embodiment, the bottom capacitor electrode is formed from a gate metal. The method may further comprise forming a metal plate in the first metallization layer and over the top capacitor electrode, and connecting the metal plate to the bottom capacitor electrode to provide increased capacitance density.
    Type: Application
    Filed: September 29, 2011
    Publication date: April 4, 2013
    Applicant: BROADCOM CORPORATION
    Inventors: Xiangdong Chen, Henry Kuo-Shun Chen, Wei Xia, Bruce Chih-Chieh Shen
  • Patent number: 8409984
    Abstract: Certain embodiments disclosed herein relate to the formation of multi-component oxide heterostructures (MCOH) using surface nucleation to pattern the atomic layer deposition (ALD) of perovskite material followed by patterned etch and metallization to produce ultra-high density MCOH nano-electronic devices. Applications include ultra-high density MCOH memory and logic, as well as electronic functionality based on single electrons, for example a novel flash memory cell Floating-Gate (FG) transistor with LaAlO3 as a gate tunneling dielectric. Other types of memory devices (DIMMS, DRAM, and DDR) made with patterned ALD of LaAlO3 as a gate dielectric are also possible.
    Type: Grant
    Filed: June 10, 2010
    Date of Patent: April 2, 2013
    Assignee: NexGen Semi Holding, Inc.
    Inventors: Mark Joseph Bennahmias, Michael John Zani, Jeffrey Winfield Scott
  • Patent number: 8410577
    Abstract: The invention provides a semiconductor device which has a capacitor element therein to achieve size reduction of the device, the capacitor element having larger capacitance than conventional. A semiconductor integrated circuit and pad electrodes are formed on the front surface of a semiconductor substrate. A second insulation film is formed on the side and back surfaces of the semiconductor substrate, and a capacitor electrode is formed between the back surface of the semiconductor substrate and the second insulation film, contacting the back surface of the semiconductor substrate. The second insulation film is covered by wiring layers electrically connected to the pad electrodes, and the wiring layers and the capacitor electrode overlap with the second insulation film being interposed therebetween. Thus, the capacitor electrode, the second insulation film and the wiring layers form capacitors.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: April 2, 2013
    Assignees: SANYO Semiconductor Co., Ltd., Semiconductor Components Industries, LLC
    Inventors: Katsu Horikoshi, Hisayoshi Uchiyama, Takashi Noma, Yoshinori Seki, Hiroshi Yamada, Shinzo Ishibe, Hiroyuki Shinogi
  • Patent number: 8410579
    Abstract: In one embodiment, an integrated circuit (IC) is presented. The IC includes first and second sets of power distribution lines formed in the IC. The IC includes first and second capacitors formed in one or more layers of the IC. A first plurality of vias couple a first input of the first and second capacitors to the first set of power distribution lines, and a second plurality of vias couple a second input of the first and second capacitors to the second set of power distribution lines. The first capacitor and the first plurality of vias and the second plurality of vias coupled thereto having an equivalent series resistance greater than an equivalent series resistance of the second capacitor and the first plurality of vias and the second plurality of vias coupled thereto.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: April 2, 2013
    Assignee: Xilinx, Inc.
    Inventors: Atul V. Ghia, Christopher P. Wyland, Ketan Sodha, Paul T. Sasaki, Jian Tan, Paul Y. Wu, Romi Mayder
  • Publication number: 20130075862
    Abstract: Methods are provided for forming a capacitor. In one embodiment, a method comprises providing an insulator material layer over a substrate, etching at least one via in the insulator material layer and depositing a contact material fill in the at least one via to form a first set of contacts. The method further comprises etching the insulator material layer adjacent at least one contact of the first set of contacts to form at least one void, depositing a dielectric material layer over the at least one void and over the first set of contacts and depositing a contact material fill in the at least void to form a second set of contacts.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 28, 2013
    Inventors: Michael Rennie, Thomas J. Knight
  • Patent number: 8405188
    Abstract: An upper electrode of a ferroelectric capacitor has a first layer formed of a first oxide expressed by a chemical formula AOx1 (A: metal, O: oxygen) using a stoichiometric composition parameter x1, and expressed by a chemical formula AOx2 using a actual composition parameter x2, and a second layer formed of a second oxide, formed on the first layer, expressed by a chemical formula BOy1 (B: metal) using a stoichiometric composition parameter y1 and expressed by a chemical formula BOy2 using a actual composition parameter y2, which includes at least one of stone-wall crystal and column crystal.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: March 26, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Wensheng Wang
  • Publication number: 20130069200
    Abstract: A method of forming a capacitor structure comprises: forming a doped polysilicon layer on an underlying dielectric layer; forming a dielectric stack on the doped polysilicon layer; forming a contact hole in the dielectric stack to expose a surface region of the doped polysilsicon layer; forming a conductive contact plug that fills the contact hole and is in contact with the exposed surface of the doped polysilicon layer; forming a plurality of trenches in the dielectric stack such that each trench exposes a corresponding surface region of the doped polysilicon layer; forming a conductive bottom capacitor plate on exposed surfaces of the of the dielectric stack an don exposed surfaces of the doped polysilicon layer; forming a capacitor dielectric layer on the bottom capacitor plate; and forming a conductive top capacitor plate on the capacitor dielectric layer.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 21, 2013
    Inventors: Venkat Raghavan, Andrew Strachan
  • Publication number: 20130062733
    Abstract: Ferroelectric capacitor structures for integrated decoupling capacitors and the like. The ferroelectric capacitor structure includes two or more ferroelectric capacitors connected in series with one another between voltage nodes. The series connection of the ferroelectric capacitors reduces the applied voltage across each, enabling the use of rough ferroelectric dielectric material, such as PZT deposited by MOCVD. Matched construction of the series-connected capacitors, as well as uniform polarity of the applied voltage across each, is beneficial in reducing the maximum voltage across any one of the capacitors, reducing the vulnerability to dielectric breakdown.
    Type: Application
    Filed: December 20, 2011
    Publication date: March 14, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Scott Robert Summerfelt, John A. Rodriguez, Huang-Chun Wen, Steven Craig Bartling
  • Publication number: 20130056852
    Abstract: Methods for depositing high-K dielectrics are described, including depositing a first electrode on a substrate, wherein the first electrode is chosen from the group consisting of platinum and ruthenium, applying an oxygen plasma treatment to the exposed metal to reduce the contact angle of a surface of the metal, and depositing a titanium oxide layer on the exposed metal using at least one of a chemical vapor deposition process and an atomic layer deposition process, wherein the titanium oxide layer comprises at least a portion rutile titanium oxide.
    Type: Application
    Filed: November 5, 2012
    Publication date: March 7, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventor: Intermolecular, Inc.
  • Publication number: 20130043559
    Abstract: A method includes removing an exposed portion of a first portion of a substrate to define a first trench portion partially defined by the first portion of the substrate and expose a second portion of the substrate, the first portion of the substrate disposed on the second portion of the substrate, the second portion of the substrate including an N+ doped silicon material, and removing a portion the exposed second portion of the substrate with an isotropic etching process to define a second trench portion.
    Type: Application
    Filed: August 17, 2011
    Publication date: February 21, 2013
    Applicant: International Business Machines Corporation
    Inventors: JUNEDONG LEE, Xi Li, Paul C. Parries, Richard Wise, Hongwen Yan
  • Publication number: 20130043561
    Abstract: A semiconductor device includes a capacitor dielectric film formed on a lower electrode and made of a ferroelectric material, and an upper electrode formed on a capacitor dielectric film, wherein the lower electrode includes a lowest conductive layer and an upper conductive layer, the lowest conductive layer being made of a noble metal other than iridium, and the upper conductive layer being formed on the lowest conductive layer and made of a conductive material, which is different from a material for the lowest conductive layer, and which is other than platinum.
    Type: Application
    Filed: October 22, 2012
    Publication date: February 21, 2013
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: FUJITSU SEMICONDUCTOR LIMITED
  • Patent number: 8378450
    Abstract: An interdigitated structure may include at least one first metal line, at least one second metal line parallel to the at least one first metal line and separated from the at least one first metal line, and a third metal line contacting ends of the at least one first metal line and separated from the at least one second metal line. The at least one first metal line does not vertically contact any metal via and at least one second metal line may vertically contact at least one metal via. Multiple layers of interdigitated structure may be vertically stacked. Alternately, an interdigitated structure may include a plurality of first metal lines and a plurality of second metal lines, each metal line not vertically contacting any metal via. Multiple instances of interdigitated structure may be laterally replicated and adjoined, with or without rotation, and/or vertically stacked to form a capacitor.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Roger A. Booth, Jr., Douglas D. Coolbaugh, Ebenezer E. Eshun, Zhong-Xiang He
  • Patent number: 8378404
    Abstract: A semiconductor structure of a display device and the method for fabricating the same are provided. The semiconductor structure is formed on a substrate having a TFT region and a pixel capacitor region thereon. A TFT, including a gate electrode, a source electrode, a drain electrode, a channel layer, and a gate insulating layer, is formed on the TFT region of the substrate. A pixel capacitor is formed on the pixel capacitor region, wherein the pixel capacitor comprises a bottom electrode formed on a bottom dielectric layer, an interlayer dielectric layer formed on the bottom electrode, a top electrode formed on the interlayer dielectric layer, a contact plug passing through the interlayer dielectric layer and electrically connected to the top and bottom electrodes, a capacitor dielectric layer formed on the top electrode, a transparent electrode formed on the capacitor dielectric layer and electrically connected to the drain electrode.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: February 19, 2013
    Assignee: AU Optronics Corp.
    Inventor: Yu-Cheng Chen
  • Patent number: 8378453
    Abstract: Embodiments of the present disclosure include devices or systems that include a composite thermal capacitor disposed in thermal communication with a hot spot of the device, methods of dissipating thermal energy in a device or system, and the like.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: February 19, 2013
    Assignee: Georgia Tech Research Corporation
    Inventors: Andrei G. Fedorov, Craig Green, Yogendra Joshi
  • Publication number: 20130037912
    Abstract: Disclosed is a non-volatile, ferroelectric random access memory (F-RAM) device and a method for fabricating the same in the form of a damascene self-aligned F-RAM device comprising a PZT capacitor built on the sidewalls of an oxide trench, while allowing for the simultaneous formation of two ferroelectric sidewall capacitors.
    Type: Application
    Filed: August 8, 2012
    Publication date: February 14, 2013
    Applicant: Ramtron International Corporation
    Inventors: Shan Sun, Thomas E. Davenport, John Cronin
  • Publication number: 20130032924
    Abstract: To provide a semiconductor device including: plural capacitors each including a cylindrical lower electrode having an internal wall and an external wall, and an upper electrode that covers the external wall of the lower electrode via a capacitance dielectric film; and a supporting film having a buried portion buried in an internal region surrounded by the internal wall of the lower electrode, and a supporting portion a part of which is positioned within the internal region and remaining parts of which are positioned at outside of the internal region. The supporting portion sandwiches an upper end of the lower electrode at both ends of the upper end by covering the internal wall and the external wall of the upper end of the lower electrode.
    Type: Application
    Filed: February 6, 2012
    Publication date: February 7, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventors: SATORU ISOGAI, TAKAHIRO KUMAUCHI
  • Patent number: 8362589
    Abstract: A capacitor in an integrated circuit (“IC”) has a distribution grid formed in a first patterned metal layer of the integrated circuit and a first vertical conductive filament connected to and extending away from the distribution grid along a first direction. A second vertical conductive filament is connected to the distribution grid and extends in the opposite direction. First and second grid plates are formed in the metal layers above and below the first patterned metal layer. The grid plates surround the first and second vertical conductive filaments. The distribution grid, first vertical conductive filament and second vertical conductive filament are connected to and form a portion of a first node of the capacitor and the first grid plate and the second grid plate are connected to and form a portion of a second node of the capacitor.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: January 29, 2013
    Assignee: Xilinx, Inc.
    Inventor: Patrick J. Quinn
  • Publication number: 20130001743
    Abstract: A structure includes a first metallic electrode, a dielectric film formed over the first metallic electrode, and a second metallic electrode formed over the dielectric film. The second metallic electrode includes an oxygen scavenging material. The oxygen scavenging material is selected such that an oxygen density decreases in a region between the first metallic electrode and the second metallic electrode responsive to elevating a temperature of the first metallic electrode, the dielectric film, and the second metallic electrode.
    Type: Application
    Filed: June 28, 2011
    Publication date: January 3, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Martin M. Frank
  • Publication number: 20130001745
    Abstract: A semiconductor device includes a lower wiring layer including a plurality of lower wirings, each of the lower wirings being elongated to run substantially parallel to a first direction, a metal-insulator-metal (MIM) capacitor formed above the plurality of lower wirings, the MIM capacitor comprising lower and upper electrodes and a capacity dielectric film interposed between the lower and upper electrodes, and an upper wiring layer formed above the MIM capacitor, the upper wiring layer including a plurality of upper wirings which are connected to the lower and upper electrodes through a plurality of first via plus and a plurality of second via plugs, respectively. Each of the plurality of first via plugs and the plurality of second via plugs are arranged parallel to the first direction, and the plurality of second via plus is arranged above portions between the lower wirings.
    Type: Application
    Filed: September 11, 2012
    Publication date: January 3, 2013
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Takayuki IWAKI