Methods Patents (Class 355/77)
  • Patent number: 11977246
    Abstract: A method of forming patterned features on a substrate is provided. The method includes positioning a plurality of masks arranged in a mask layout over a substrate. The substrate is positioned in a first plane and the plurality of masks are positioned in a second plane, the plurality of masks in the mask layout have edges that each extend parallel to the first plane and parallel or perpendicular to an alignment feature on the substrate, the substrate includes a plurality of areas configured to be patterned by energy directed through the masks arranged in the mask layout. The method further includes directing energy towards the plurality of areas through the plurality of masks arranged in the mask layout over the substrate to form a plurality of patterned features in each of the plurality of areas.
    Type: Grant
    Filed: March 13, 2023
    Date of Patent: May 7, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Yongan Xu, Rutger Meyer Timmerman Thijssen, Jinrui Guo, Ludovic Godet
  • Patent number: 11920919
    Abstract: An apparatus includes a display screen that includes OLED pixels disposed at a particular pitch in a first plane. A light projector includes light emitting elements disposed in a second plane parallel to the first plane. The light emitting elements are disposed at the same pitch as the OLED pixels or at an integer multiple of the pitch of the plurality of OLED pixels. The light emitting elements are operable to produce light at a wavelength for transmission through the display screen, and the first and second planes are separated from one another by a distance D such that d2=2*(?)*(D)/(N), where d is the pitch of the OLED pixels, ? is the wavelength, and N is a positive integer.
    Type: Grant
    Filed: June 10, 2020
    Date of Patent: March 5, 2024
    Assignee: ams Sensors Asia Pte. Ltd
    Inventor: Nicolino Stasio
  • Patent number: 11906903
    Abstract: A device for measuring reference points in real time during lithographic printing includes a light source providing an exposure beam; a light modulator modulating the exposure beam according to an exposure pattern; a measurement system configured to measure a position of a number of alignment marks previously arranged on a substrate; and an exposure optical system comprising a control unit. The exposure optical system delivers the modulated exposure beam as an image provided by the light modulator onto the substrate. The exposure system control unit is configured to calculate the orientation of the substrate based on the position of the alignment marks and control the delivering of the modulated exposure beam relative to the calculated orientation of the substrate.
    Type: Grant
    Filed: June 29, 2020
    Date of Patent: February 20, 2024
    Assignee: Visitech AS
    Inventors: Øyvind Tafjord, Trond Jørgensen, Endre Kirkhorn, Roy Almedal
  • Patent number: 11885738
    Abstract: An imaging system, and method of its use, for viewing a sample surface at an inclined angle, preferably in functional combination with a sample investigating reflectometer, spectrophotometer, ellipsometer or polarimeter system; wherein the imaging system provides that a sample surface and multi-element imaging detector surface are oriented with respect to one another to meet the Scheimpflug condition, and wherein a telecentric lens system is simultaneously positioned between the sample surface and the input surface of the multi-element imaging detector such that an image of the sample surface produced by said multi-element imaging detector is both substantially in focus over the extent thereof, and such that substantially no keystone error is demonstrated in said image.
    Type: Grant
    Filed: March 9, 2020
    Date of Patent: January 30, 2024
    Assignee: J.A. WOOLLAM CO., INC.
    Inventors: Martin M. Liphardt, Galen L Pfeiffer, Ping He
  • Patent number: 11849769
    Abstract: An apparatus is provided for enabling smokable material to be heated to volatize at least one component of the smokable material. In one example, the apparatus has a capacitive sensor arranged to sense a change in capacitance when an article of smokable material is associated with a housing of the apparatus in use. In another example, the apparatus has a resistive sensor arranged to provide a measure of electrical resistance when an article of smokable material is associated with a housing of the apparatus in use. A combination of capacitive and resistive sensing may be used in some examples. In another example, a sensor makes use of at least two different sensing techniques. There is also provided an article of smokable material having a non-metallic electrically conductive region for detection by a sensor of an apparatus arranged to cause heating of the smokable material.
    Type: Grant
    Filed: May 6, 2020
    Date of Patent: December 26, 2023
    Assignee: Nicoventures Trading Limited
    Inventors: Duane Anthony Kaufman, Jesse Eugene Robinson
  • Patent number: 11835871
    Abstract: An imprint apparatus which is advantageous in improving the accuracy of alignment of a mold and a substrate without reducing productivity is provided.
    Type: Grant
    Filed: October 6, 2020
    Date of Patent: December 5, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Satoshi Iino
  • Patent number: 11822259
    Abstract: A method of extreme ultraviolet lithography includes: generating within a source vessel extreme ultraviolet (EUV) light by striking a stream of droplets of target material shot across the source vessel with pulses from a laser to create a plasma from which EUV light is emitted; directing the generated EUV light out of the source vessel through an intermediate focus cap along a pathway toward a reticle of a scanner; creating a longitudinal mechanical wave extending across the pathway; and exposing a photoresist layer on a semiconductor substrate to pattern a circuit layout by the generated EUV light.
    Type: Grant
    Filed: April 18, 2022
    Date of Patent: November 21, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, LTD.
    Inventors: Tai-Yu Chen, Sagar Deepak Khivsara, Shang-Chieh Chien, Kai Tak Lam, Sheng-Kang Yu
  • Patent number: 11815818
    Abstract: Methods for patterning a substrate are described. A substrate is scanned using a spatial light modulator with a plurality of exposures timed according to a non-crystalline shot pattern. Lithography systems for performing the substrate patterning method and non-transitory computer-readable medium for executing the patterning method are also described.
    Type: Grant
    Filed: May 10, 2022
    Date of Patent: November 14, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joseph R. Johnson, Christopher Dennis Bencher
  • Patent number: 11809133
    Abstract: An optical image generation system including: a spatial light modulator (SLM) configured to receive an input collimated laser beam and modulate the wavefront of the laser beam; one or more optical elements configured to project the modulated laser beam onto a focal plane; a first mirror and a second mirror situated at the focal plane, an edge of the first mirror being adjacent to an edge of the second mirror, the first mirror reflects a first portion of the modulated laser beam in a first direction, the second mirror reflects a second portion of the modulated laser beam in a second direction; and an objective lens projects the first and second portions into a combined image; wherein the zeroth order diffraction is block or suppressed at the center of the focal plane.
    Type: Grant
    Filed: January 19, 2022
    Date of Patent: November 7, 2023
    Assignee: Thorlabs, Inc.
    Inventors: Hongzhou Ma, Jeffery S. Brooker
  • Patent number: 11762298
    Abstract: There is provided an exposure apparatus including a first light shielding unit including a first light shielding member and a second light shielding member, which include end potions facing each other in a scanning direction and in which a relative distance therebetween in the scanning direction can be changed, and arranged at a position away from a conjugate plane of a surface to be illuminated to a side of a light source, and a second light shielding unit including a third light shielding member and a fourth light shielding member, which include end portions facing each other in the scanning direction and in which a relative distance therebetween in the scanning direction can be changed, and arranged at a position away from the conjugate plane to a side of the surface.
    Type: Grant
    Filed: February 17, 2022
    Date of Patent: September 19, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Daisuke Kobayashi
  • Patent number: 11762281
    Abstract: Membranes for EUV lithography are disclosed. In one arrangement, a membrane has a stack having layers in the following order: a first capping layer including an oxide of a first metal; a base layer including a compound having a second metal and an additional element selected from the group consisting of Si, B, C and N; and a second capping layer including an oxide of a third metal, wherein the first metal is different from the second metal and the third metal is the same as or different from the first metal.
    Type: Grant
    Filed: December 22, 2020
    Date of Patent: September 19, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Maxim Aleksandrovich Nasalevich, Erik Achilles Abegg, Nirupam Banerjee, Michiel Alexander Blauw, Derk Servatius Gertruda Brouns, Paul Janssen, Matthias Kruizinga, Egbert Lenderink, Nicolae Maxim, Andrey Nikipelov, Arnoud Willem Notenboom, Claudia Piliego, Mária Péter, Gijsbert Rispens, Nadja Schuh, Marcus Adrianus Van De Kerkhof, Willem Joan Van Der Zande, Pieter-Jan Van Zwol, Antonius Willem Verburg, Johannes Petrus Martinus Bernardus Vermeulen, David Ferdinand Vles, Willem-Pieter Voorthuijzen, Aleksandar Nikolov Zdravkov
  • Patent number: 11720028
    Abstract: A measurement illumination optical unit guides illumination light into an object field of a projection exposure apparatus for EUV lithography. The illumination optical unit has a field facet mirror with a plurality of field facets and a pupil facet mirror with a plurality of pupil facets. The latter serve for overlaid imaging in the object field of field facet images of the field facets. A field facet imaging channel of the illumination light is guided via any one field facet and any one pupil facet. A field stop specifies a field boundary of an illumination field in the object plane. The illumination field has a greater extent along one field dimension than any one of the field facet images. At least some of the field facets include tilt actuators which help guide the illumination light into the illumination field via various field facets and one and the same pupil facet.
    Type: Grant
    Filed: October 26, 2021
    Date of Patent: August 8, 2023
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Thomas Fischer, Lars Wischmeier, Michael Patra, Hubert Holderer
  • Patent number: 11703767
    Abstract: Electron beam overlay targets and method of performing overlay measurements on a target using a semiconductor metrology tool are provided. One target includes a plurality of electron beam overlay elements and a plurality of two-dimensional elements that provide at least one two-dimensional imaging. The plurality of two dimensional elements are an array of evenly-spaced polygonal gratings across at least three rows and at least three columns. Another target includes a plurality of electron beam overlay elements and a plurality of AIMid elements. Each of the electron beam overlay elements includes at least two gratings that are overlaid at a perpendicular orientation to each other. The plurality of AIMid elements includes at least two gratings that are overlaid at a perpendicular orientation to each other.
    Type: Grant
    Filed: September 28, 2021
    Date of Patent: July 18, 2023
    Inventors: Inna Steely-Tarshish, Stefan Eyring, Mark Ghinovker, Yoel Feier, Eitan Hajaj, Ulrich Pohlmann, Nadav Gutman, Chris Steely, Raviv Yohanan, Ira Naot
  • Patent number: 11678071
    Abstract: An image sensing device includes a pixel array configured to include a first pixel group and a second pixel group that are contiguous to each other, each of the first pixel group and second pixel group including a plurality of imaging pixels to convert light into pixel signals, and a light field lens array disposed over the pixel array to direct light to the imaging pixels and configured as a moveable structure that is operable to move between a first position and a second position in a horizontal direction by a predetermined distance corresponding to a width of the first pixel group or a width of the second pixel group, the light field lens array configured to include one or more lens regions each including a light field lens and one or more open regions formed without the light field lens to enable both light filed imaging and conventional imaging.
    Type: Grant
    Filed: October 13, 2020
    Date of Patent: June 13, 2023
    Assignee: SK HYNIX INC.
    Inventor: Jong Eun Kim
  • Patent number: 11670555
    Abstract: Method and devices to reduce integrated circuit fabrication process yield loss due to undesired interactions between PCMs and the wafer test probes during wafer sorting tests are disclosed. The described methods entail the use of a properly patterned metal layer on the PCM dies adjacent to the product dies under test. Such patterned metal layers shield traces of the wafer probes from the circuits of the PCM dies. Various exemplary metal layer patterns are also presented.
    Type: Grant
    Filed: December 18, 2020
    Date of Patent: June 6, 2023
    Assignee: PSEMI CORPORATION
    Inventors: Jacob Hamilton, Tran Kononova, Jay Kothari, Matt Allison, Kim T. Nguyen, Eric S. Shapiro
  • Patent number: 11650510
    Abstract: A projection optical unit for microlithography includes a plurality of mirrors and has a numerical aperture having a value larger than 0.5. The plurality of mirrors includes at least three grazing incidence mirrors, which deflect a chief ray of a central object field point with an angle of incidence of greater than 45°. Different polarized light beams passing the projection optical unit are rotated in their polarization direction by different angles of rotation. The projection optical unit includes first and second groups of mirrors. The second group of mirrors includes the final two mirrors of the plurality of mirrors at the image side. A linear portion in the pupil dependence of the total geometrical polarization rotation of the projection optical unit is less than 20% of a linear portion in the pupil dependence of the geometrical polarization rotation of the second group of mirrors.
    Type: Grant
    Filed: December 16, 2021
    Date of Patent: May 16, 2023
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hans-Juergen Rostalski, Holger Muenz, Christoph Menke
  • Patent number: 11644756
    Abstract: Methods and systems for determining information for a specimen are provided. Certain embodiments relate to bump height 3D inspection and metrology using deep learning artificial intelligence. For example, one embodiment includes a deep learning (DL) model configured for predicting height of one or more 3D structures formed on a specimen based on one or more images of the specimen generated by an imaging subsystem. One or more computer systems are configured for determining information for the specimen based on the predicted height. Determining the information may include, for example, determining if any of the 3D structures are defective based on the predicted height. In another example, the information determined for the specimen may include an average height metric for the one or more 3D structures.
    Type: Grant
    Filed: August 4, 2021
    Date of Patent: May 9, 2023
    Assignee: KLA Corp.
    Inventors: Scott A. Young, Kris Bhaskar, Lena Nicolaides
  • Patent number: 11614691
    Abstract: In a method of inspecting an outer surface of a mask pod, a stream of air is directed at a first location of a plurality of locations on the outer surface. One or more particles are removed by the directed stream of air from the first location on the outer surface. Scattered air from the first location of the outer surface is extracted and a number of particles in the extracted scattered air is determined as a sampled number of particles at the first location. The mask pod is moved and the stream of air is directed at other locations of the plurality of locations to determine the sampled number of particles in extracted scattered air at the other locations. A map of the particles on the outer surface of the mask pod is generated based on the sampled number of particles at the plurality of locations.
    Type: Grant
    Filed: August 13, 2021
    Date of Patent: March 28, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Jui Huang, ShinAn Ku, Ting-Hao Hsu, Hsin-Chang Lee
  • Patent number: 11543756
    Abstract: A lithographic apparatus comprises a projection system comprising position sensors to measure a position of optical elements of the projection system. The positions sensors are referenced to a sensor frame. Damping actuators damp vibrations of the sensor frame. A control device drives the actuators and is configured to derive sensor frame damping force signals from at least one of the acceleration signals and the sensor frame position signals, derive an estimated line of sight error from the position signals, determine actuator drive signals from the sensor frame damping force signals and the estimated line of sight error, drive the actuators using the actuator drive signals to dampen the sensor frame and to at least partly compensate the estimated line of sight error.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: January 3, 2023
    Assignee: ASML Netherlands B.V.
    Inventor: Hans Butler
  • Patent number: 11537042
    Abstract: An overlay correcting method capable of optimizing correction of an overlay within a scanner correction limit of a scanner of a scanner system, and a photolithography method, a semiconductor device manufacturing method and the scanner system which are based on the overlay correcting method are provided. The overlay correcting method includes collecting overlay data by measuring an overlay of a pattern; calculating correction parameters of the overlay by performing regularized regression using the overlay data, the regularized regression being based on a correction limit of the scanner such that the correction parameters fall within the correction limit of the scanner; and providing the correction parameters to the scanner.
    Type: Grant
    Filed: March 3, 2020
    Date of Patent: December 27, 2022
    Inventors: Jeongjin Lee, Minseok Kang, Seungyoon Lee, Chan Hwang
  • Patent number: 11532490
    Abstract: Semiconductor device packages and associated methods are disclosed herein. In some embodiments, the semiconductor device package includes (1) a first surface and a second surface opposite the first surface; (2) a semiconductor die positioned between the first and second surfaces; and (3) an indication positioned in a designated area of the first surface. The indication includes a code presenting information for operating the semiconductor die. The code is configured to be read by an indication scanner coupled to a controller.
    Type: Grant
    Filed: August 22, 2019
    Date of Patent: December 20, 2022
    Assignee: Micron Technology, Inc.
    Inventor: Federico Pio
  • Patent number: 11372337
    Abstract: A model-based tuning method for tuning a first lithography system utilizing a reference lithography system, each of which has tunable parameters for controlling imaging performance. The method includes the steps of defining a test pattern and an imaging model; imaging the test pattern utilizing the reference lithography system and measuring the imaging results; imaging the test pattern utilizing the first lithography system and measuring the imaging results; calibrating the imaging model utilizing the imaging results corresponding to the reference lithography system, where the calibrated imaging model has a first set of parameter values; tuning the calibrated imaging model utilizing the imaging results corresponding to the first lithography system, where the tuned calibrated model has a second set of parameter values; and adjusting the parameters of the first lithography system based on a difference between the first set of parameter values and the second set of parameter values.
    Type: Grant
    Filed: October 2, 2020
    Date of Patent: June 28, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Ye, Yu Cao
  • Patent number: 11375078
    Abstract: A reflective member is disposed on the optical path from the linear light source to the lens array. An ultraviolet light blocking filter is provided closer to a light-receiving unit than the reflective member. A color filter is provided closer to the light-receiving unit than the ultraviolet light blocking filter. A light-receiving surface of the light-receiving unit has a first region opposed to the reflective member without the ultraviolet light blocking filter and the color filter interposed therebetween, a second region opposed to the reflective member and the ultraviolet light blocking filter without the color filter interposed therebetween, and a third region opposed to the reflective member, the ultraviolet light blocking filter, and the color filter.
    Type: Grant
    Filed: September 13, 2018
    Date of Patent: June 28, 2022
    Assignees: GLORY LTD, VIENEX CORPORATION
    Inventors: Akira Bogaki, Masashi Nishikawa, Yasutoshi Hayashi, Kazuaki Ryuman
  • Patent number: 11320759
    Abstract: An image forming apparatus includes a rotatable photosensitive member. A charging member charges a surface of the photosensitive member. A developing roller carries developer. The developing roller supplies the developer in normal polarity to the surface of the photosensitive member. A regulating member regulates the developer on the developing roller. A common voltage applying unit applies charging voltage and regulating voltage. The regulating voltage is applied with the developing roller rotating such that a potential difference in a direction in which electrostatic force from the regulating member to the developing roller acts on the developer charged in the normal polarity, is formed between the regulating member and the developing roller, and in which the charging voltage to be applied in a non-image-forming period is controlled so as to be smaller in absolute value than in an image-forming period.
    Type: Grant
    Filed: December 13, 2019
    Date of Patent: May 3, 2022
    Assignee: Canon Kabushiki Kaisha
    Inventors: Jun Miura, Yuki Yamamoto, Takashi Hiramatsu, Masanori Tanaka
  • Patent number: 11294294
    Abstract: Methods and apparatuses for determining a position of an alignment mark applied to a region of a first layer on a substrate using a lithographic process by: obtaining an expected position of the alignment mark; obtaining a geometrical deformation of the region due to a control action correcting the lithographic process; obtaining a translation of the alignment mark due to the geometrical deformation; and determining the position of the alignment mark based on the expected position and the translation.
    Type: Grant
    Filed: February 6, 2019
    Date of Patent: April 5, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Leon Paul Van Dijk, Orion Jonathan Pierre Mouraille, Anne Marie Pastol
  • Patent number: 11276550
    Abstract: Provided is a charged particle beam device capable of making a time lag as small as possible when transporting a succeeding wafer from an FOUP to an SC in parallel with returning a preceding wafer from a sample chamber to the FOUP. The charged particle beam device according to the disclosure predicts a completion time point at which a recipe of the preceding wafer is ended, and sets a time point at which the succeeding wafer is started to be taken out from the FOUP so that a timing at which the succeeding wafer is taken out from the FOUP to a load lock chamber and vacuum evacuation of the load lock chamber is completed matches the completion time point.
    Type: Grant
    Filed: October 28, 2020
    Date of Patent: March 15, 2022
    Assignee: Hitachi High-Tech Corporation
    Inventors: Mari Takabatake, Kazuyuki Hirao
  • Patent number: 11268912
    Abstract: A substrate inspection method includes: acquiring a feature amount of each of divided areas in an inspection target peripheral edge image, the inspection target peripheral edge image being an image of a peripheral portion of a target substrate as an inspection target, the divided areas being obtained by dividing a predetermined area in the image of the peripheral portion of the target substrate into a plurality of areas; and performing a predetermined determination concerning inspection of the peripheral portion of the target substrate based on an acquisition result in the acquiring the feature amount.
    Type: Grant
    Filed: July 17, 2019
    Date of Patent: March 8, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazuya Hisano, Akiko Kiyotomi
  • Patent number: 11256183
    Abstract: A detection method for a pellicle membrane of a photomask includes applying a predetermined pressure under which the pellicle membrane undergoes a deformation, measuring and calculating at least one of deformation level, Young's modulus, and flexural rigidity level of the pellicle membrane by detection, and obtaining a detection result about the pellicle membrane according to at least one of the deformation level, Young's modulus, and flexural rigidity level of the pellicle membrane, so as to evaluate the quality of the pellicle membrane.
    Type: Grant
    Filed: May 18, 2020
    Date of Patent: February 22, 2022
    Assignee: SOUTHERN TAIWAN UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventor: Yu-Ching Lee
  • Patent number: 11254053
    Abstract: A three-dimensional printing system for fabricating a three-dimensional article includes a motorized build platform, a dispensing module, a pulsed light source, an imaging module, a movement mechanism, and a controller. The imaging module receives radiation from the pulsed light source and includes a two-dimensional mirror array. The movement mechanism imparts lateral motion between the imaging module and the build platform. The controller is configured to operate the motorized build platform and the dispensing module to form a layer of build material at a build plane, operate the movement mechanism to laterally scan the imaging module over the build plane, operate the pulsed light source to generate a sequence of radiation pulses that illuminate the mirror array, and operate the mirror array to selectively image the build material.
    Type: Grant
    Filed: February 28, 2020
    Date of Patent: February 22, 2022
    Assignee: 3D Systems, Inc.
    Inventor: Evgeny Korol
  • Patent number: 11237373
    Abstract: A surgical microscope system for use in a medical procedure. The surgical microscope system is controlled in a first mode of operation corresponding to a phase of the medical procedure and defining at least one setting for adjusting a set of adjustable optics. While in the first mode of operation, the surgical microscope system automatically determines, from a captured image, an indication that a second mode of operation is relevant. The mode of operation is switched to the second mode of operation.
    Type: Grant
    Filed: July 3, 2020
    Date of Patent: February 1, 2022
    Assignee: SYNAPTIVE MEDICAL INC.
    Inventors: Siu Wai Jacky Mak, Tammy Lee
  • Patent number: 11234332
    Abstract: A circuit board structure includes a circuit board body having an adsorption surface; an auxiliary board on which a binding mark is disposed. The auxiliary board is spliced to the circuit board body, and a surface of the auxiliary board is flush with the adsorption surface. A part of the adsorption surface and a part of the surface of the auxiliary board together form an adsorption zone.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: January 25, 2022
    Assignees: Ordos Yuansheng Optoelectronics Co., Ltd., BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Chunghao Cheng
  • Patent number: 11204239
    Abstract: A diffraction measurement target that has at least a first sub-target and at least a second sub-target, and wherein (1) the first and second sub-targets each include a pair of periodic structures and the first sub-target has a different design than the second sub-target, the different design including the first sub-target periodic structures having a different pitch, feature width, space width, and/or segmentation than the second sub-target periodic structure or (2) the first and second sub-targets respectively include a first and second periodic structure in a first layer, and a third periodic structure is located at least partly underneath the first periodic structure in a second layer under the first layer and there being no periodic structure underneath the second periodic structure in the second layer, and a fourth periodic structure is located at least partly underneath the second periodic structure in a third layer under the second layer.
    Type: Grant
    Filed: July 17, 2020
    Date of Patent: December 21, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Kaustuve Bhattacharyya, Henricus Wilhelmus Maria Van Buel, Christophe David Fouquet, Hendrik Jan Hidde Smilde, Maurits Van Der Schaar, Arie Jeffrey Den Boef, Richard Johannes Franciscus Van Haren, Xing Lan Liu, Johannes Marcus Maria Beltman, Andreas Fuchs, Omer Abubaker Omer Adam, Michael Kubis, Martin Jacobus Johan Jak
  • Patent number: 11173740
    Abstract: An erasing unit according to an embodiment of the present disclosure is a unit that performs erasing of information written on a reversible recording medium. This erasing unit includes: a light source section including one or a plurality of laser devices; and a controller that controls the light source section to cause the light source section to emit a smaller number of laser light beams having emission wavelengths than the number of the recording layers included in the reversible recording medium.
    Type: Grant
    Filed: May 11, 2018
    Date of Patent: November 16, 2021
    Assignee: Sony Corporation
    Inventors: Isao Takahashi, Satoko Asaoka, Taichi Takeuchi, Asuka Tejima, Kentaro Kuriyama, Mitsunari Hoshi
  • Patent number: 11169359
    Abstract: For the purposes of positioning a component part, provision is made in an optical system for a stray magnetic field to be detected via a sensor device and for a correction signal for compensating the effect of the stray magnetic field on the positioning of the component part to be ascertained.
    Type: Grant
    Filed: June 20, 2019
    Date of Patent: November 9, 2021
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Pascal Marsollek, Stefan Hembacher
  • Patent number: 11133187
    Abstract: A method for forming a photo-mask includes providing a first pattern, wherein the first pattern includes a first light-transmitting region and a first light-shielding region; transforming the first pattern into a second pattern, wherein the second pattern includes a second light-transmitting region and a second light-shielding region, the second light-transmitting region is located within range of the first light-transmitting region, and the second light-transmitting region has an area which is smaller than that of the first light-transmitting region, the second light-shielding region includes the entire region of the first light-shielding region, and the second light-shielding region has an area which is greater than that of the first light-shielding region; and forming the second pattern on a photo-mask substrate to form a photo-mask, wherein the photo-mask is used in an ion implantation process of a material layer.
    Type: Grant
    Filed: July 26, 2018
    Date of Patent: September 28, 2021
    Assignee: WINBOND ELECTRONICS CORP.
    Inventors: Chun-Hung Lin, Ching-Chun Huang, Chung-Chen Hsu
  • Patent number: 11042087
    Abstract: The present invention discloses a digital photolithography method for a fiber optic device (FOD) based on a DMD combination. In this method, reflected light modulated by two DMDs is simultaneously projected onto a same position on an optical fiber end surface through one reduction projection lens. The two DMDs form a primary and secondary digital mask for joint control of an exposure dose distribution formed when patterns are shrunk and projected onto the optical fiber end surface. After the optical fiber end surface coated with photoresist is subject to this dose of exposure, developing, fixing, and etching are conducted, to form a micro-optic device on the optical fiber end surface. In the present invention, distribution of the exposure dose jointly modulated by a digital mask combination formed by the primary and secondary DMD exceeds an order of modulation of an exposure dose by a single DMD.
    Type: Grant
    Filed: April 23, 2020
    Date of Patent: June 22, 2021
    Assignee: NANCHANG HANGKONG UNIVERSITY
    Inventors: Zhimin Zhang, Ningning Luo, Luming Wang
  • Patent number: 10986263
    Abstract: A calibration method of a variable-focal-length lens including a liquid lens unit whose focal-length is periodically varied in response to a periodic drive-signal includes: using a calibration tool having a plurality of height-different parts on a surface; preparing a calibration table by repeating outputting a drive-signal having a predetermined voltage to the variable-focal-length lens, detecting a surface image of the calibration tool using an image detector, detecting two points having maximum image contrast in the surface image, calculating a focal-depth from a difference in a focal-length between the two points; and recording the focal-depth and the voltage of the drive-signal in a corresponding combination, and retrieving a value of the voltage corresponding to a desired focal-depth from the calibration table to set the variable-focal-length lens at the desired focal-depth, and adjusting the voltage of the drive-signal outputted to the variable-focal-length lens based on the retrieved value.
    Type: Grant
    Filed: May 16, 2019
    Date of Patent: April 20, 2021
    Assignee: MITUTOYO CORPORATION
    Inventors: Hiroshi Sakai, Yutaka Watanabe
  • Patent number: 10983227
    Abstract: Methods and systems for more efficient X-Ray scatterometry measurements of on-device structures are presented herein. X-Ray scatterometry measurements of one or more structures over a measurement area includes a decomposition of the one or more structures into a plurality of sub-structures, a decomposition of the measurement area into a plurality of sub-areas, or both. The decomposed structures, measurement areas, or both, are independently simulated. The scattering contributions of each of the independently simulated decomposed structures are combined to simulate the actual scattering of the measured structures within the measurement area. In a further aspect, measured intensities and modelled intensities including one or more incidental structures are employed to perform measurement of structures of interest. In other further aspects, measurement decomposition is employed to train a measurement model and to optimize a measurement recipe for a particular measurement application.
    Type: Grant
    Filed: August 13, 2018
    Date of Patent: April 20, 2021
    Assignee: KLA-Tencor Corporation
    Inventors: John Hench, Antonio Arion Gellineau, Alexander Kuznetsov
  • Patent number: 10976196
    Abstract: A sensor mark including: a substrate having: a deep ultra violet (DUV) radiation absorbing layer including a first material which substantially absorbs DUV radiation; and a protecting layer including a second material, wherein: the DUV radiation absorbing layer has a through hole in it; the protecting layer is positioned, in plan, in the through hole and the protecting layer in the through hole has a patterned region having a plurality of through holes; and the second material is more noble than the first material.
    Type: Grant
    Filed: February 15, 2018
    Date of Patent: April 13, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Joost André Klugkist, Vadim Yevgenyevich Banine, Johan Franciscus Maria Beckers, Madhusudhanan Jambunathan, Maxim Aleksandrovich Nasalevich, Andrey Nikipelov, Roland Johannes Wilhelmus Stas, David Ferdinand Vles, Wilhelmus Jacobus Johannes Welters, Sandro Wricke
  • Patent number: 10928739
    Abstract: A method of measuring misregistration in the manufacture of semiconductor devices including providing a multilayered semiconductor device, using a scatterometry metrology tool to perform misregistration measurements at multiple sites on the multilayered semiconductor device, receiving raw misregistration data for each of the misregistration measurements, thereafter providing filtered misregistration data by removing outlying raw misregistration data points from the raw misregistration data for each of the misregistration measurements, using the filtered misregistration data to model misregistration for the multilayered semiconductor device, calculating correctables from the modeled misregistration for the multilayered semiconductor device, providing the correctables to the scatterometry metrology tool, thereafter recalibrating the scatterometry metrology tool based on the correctables and measuring misregistration using the scatterometry metrology tool following the recalibration.
    Type: Grant
    Filed: April 12, 2019
    Date of Patent: February 23, 2021
    Assignee: KLA-Tencor Corporation
    Inventors: Roie Volkovich, Ido Dolev
  • Patent number: 10884695
    Abstract: A display system capable of displaying appropriate information on a controller that performs control on an industrial machine including at least a machine tool or a robot on an external terminal is provided. A numerical controller of a display system includes a display data transmitting unit that transmits display data including a screen data ID of all pieces of data displayed on a touch panel to an external terminal. The external terminal includes: a description information storage unit that stores the screen data ID and description information in correlation; and a description information output unit that acquires, from the description information storage unit, description information based on the screen data ID included in the display data received from the numerical controller and outputs the description information to a touch panel.
    Type: Grant
    Filed: January 23, 2019
    Date of Patent: January 5, 2021
    Assignee: FANUC CORPORATION
    Inventor: Kun Liu
  • Patent number: 10870207
    Abstract: One or more embodiments are directed to cap attach trays for holding barrel caps such that a pick-and-place tool can readily identify the cap and pick the barrel cap from the cap attach tray during the manufacturing process. The cap attach trays include a receiving layer having a plurality of protrusions each of which secures a barrel cap by mating to a through-hole in the barrel cap.
    Type: Grant
    Filed: December 14, 2017
    Date of Patent: December 22, 2020
    Assignee: STMICROELECTRONICS (MALTA) LTD
    Inventors: Ryan Debono, Stanley Martin Parnis, Nathan John Zammit
  • Patent number: 10836098
    Abstract: A 3D printing method for binary stereolithography 3D printer includes following steps of: controlling a binary stereolithography 3D printer (20) to retrieve a plurality of gray-scale slice images (802,822,842); mapping a pixel value of each pixel of each gray-scale slice images (802,822,842) from a pixel value full range to an accumulation value range for obtaining a printing parameter of each pixel; selecting one of the gray-scale slice images (802,822,842) orderly; controlling a binary lighting module (204) of the binary stereolithography 3D printer (20) to irradiate for generating a layer of physical slice model (320,322,340,342) according to the printing parameter of each pixel of the selected gray-scale slice image (802,822,842); and repeatedly executing aforementioned steps to generate a physical 3D model (8?) constituted by a stack of multiple of the physical slice models (320,322,340,342).
    Type: Grant
    Filed: September 7, 2017
    Date of Patent: November 17, 2020
    Assignees: XYZPRINTING, INC., KINPO ELECTRONICS, INC.
    Inventor: Peng-Yang Chen
  • Patent number: 10802397
    Abstract: Micro- and nano-patterns in imprint layers formed on a substrate and lithographic methods for forming such layers. The layers include a plurality of structures, and a residual layer having a residual layer thickness (RLT) that extends from the surface of the substrate to a base of the structures, where the RLT varies across the surface of the substrate according to a predefined pattern.
    Type: Grant
    Filed: March 6, 2020
    Date of Patent: October 13, 2020
    Assignee: Molecular Imprints, Inc.
    Inventors: Vikramjit Singh, Kang Luo, Michael Nevin Miller, Shuqiang Yang, Frank Y. Xu
  • Patent number: 10802112
    Abstract: A chirped radio frequency signal is transmitted across an area of a potential threat. A reflected radio frequency return signal from an open barrel of a weapon at a location within the area of the potential threat is received at an incident angle through an aperture. An antenna at a position in an antenna array detects the reflected radio frequency return signal received at the incident angle through the aperture. The position of the antenna within the antenna array corresponds to a direction from which the reflected radio frequency return signal originates.
    Type: Grant
    Filed: September 17, 2018
    Date of Patent: October 13, 2020
    Assignee: United States of America as represented by the Secretary of the Navy
    Inventors: Paul David Swanson, Jia-Chi S. Chieh
  • Patent number: 10733744
    Abstract: Methods and systems for aligning images for a specimen acquired with different modalities are provided. One method includes acquiring information for a specimen that includes at least first and second images for the specimen. The first image is acquired with a first modality different than a second modality used to acquire the second image. The method also includes inputting the information into a learning based model. The learning based model is included in one or more components executed by one or more computer systems. The learning based model is configured for transforming one or more of the at least first and second images to thereby render the at least the first and second images into a common space. In addition, the method includes aligning the at least the first and second images using results of the transforming. The method may also include generating an alignment metric using a classifier.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: August 4, 2020
    Assignee: KLA-Tencor Corp.
    Inventors: Thanh Huy Ha, Scott A. Young, Mohan Mahadevan
  • Patent number: 10732455
    Abstract: The present invention is to provide a liquid crystal display device which is capable of suppressing reflected glare of a display screen on a windshield and the like, has excellent front contrast, and has excellent visibility of a display screen as viewed via polarized sunglasses. A liquid crystal display device includes, in order from a viewing side, a viewing side polarizer, a liquid crystal cell, a non-viewing side polarizer, and an anisotropic light absorption layer having an absorption axis in a thickness direction. The liquid crystal display device further includes at least one polarization control layer, in which the polarization control layer is arranged on a viewing side of the viewing side polarizer or on a non-viewing side of the non-viewing side polarizer.
    Type: Grant
    Filed: August 5, 2019
    Date of Patent: August 4, 2020
    Assignee: FUJIFILM Corporation
    Inventors: Fumitake Mitobe, Naoya Nishimura, Jun Takeda
  • Patent number: 10705437
    Abstract: Disclosed is a method, and associated apparatuses, for measuring a parameter of interest relating to a structure having at least two layers. The method comprises illuminating the structure with measurement radiation and detecting scattered radiation having been scattered by said structure. The scattered radiation comprises normal and complementary higher diffraction orders. A scatterometry model which relates a scattered radiation parameter to at least a parameter of interest and an asymmetry model which relates the scattered radiation parameter to at least one asymmetry parameter are defined, the asymmetry parameter relating to one or more measurement system errors and/or an asymmetry in the target other than a misalignment between the two layers. A combination of the scatterometry model and asymmetry model is used to determine a system of equations, and the system of equations is then solved for the parameter of interest.
    Type: Grant
    Filed: October 9, 2018
    Date of Patent: July 7, 2020
    Assignee: ASML Netherlands B.V
    Inventors: Narjes Javaheri, Mohammadreza Hajiahmadi, Murat Bozkurt, Alberto Da Costa Assafrao, Marc Johannes Noot, Simon Gijsbert Josephus Mathijssen, Jin Lian
  • Patent number: 10699875
    Abstract: Methods and systems for charged particle microscope confocal imaging are disclosed herein. An example method includes obtaining a plurality of probe images of a portion of a sample, each probe image of the plurality of probe images obtained at a different focal depth within the sample, applying a virtual aperture to each probe image of the plurality of probe images to form a respective plurality of confocal images, and forming a three-dimensional reconstruction of the sample based on the plurality of confocal images.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: June 30, 2020
    Assignee: FEI Company
    Inventors: Mark Williamson, Andrew Barnum, Dong Tang
  • Patent number: 10698325
    Abstract: Alignment can be monitored by positioning at least one alignment verification location per alignment frame. The alignment verification location is a coordinate within the alignment frame. A distance between each of the alignment verification locations and a closest instance of an alignment target is determined. An alignment score can be determined based on the distance. The alignment score can include a number of the alignment frames between the alignment verification location and the alignment target. If the alignment score is below a threshold, then alignment setup can be performed.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: June 30, 2020
    Assignee: KLA-Tencor Corporation
    Inventor: Bjorn Brauer