Mask Inspection (e.g., Semiconductor Photomask) Patents (Class 382/144)
  • Patent number: 11978189
    Abstract: Embodiments of this application provide a defect detection method and apparatus. The method includes: obtaining an image for inspection; performing anomaly detection on the image for inspection to obtain an anomaly region image corresponding to the image for inspection; and performing defect classification on the anomaly region image to obtain defect detection information of the image for inspection. The defect detection method of the embodiments of this application is divided into two steps of anomaly detection and defect classification. Anomaly detection is performed on the image for inspection first, and then defect classification needs to be performed only on an anomaly region, reducing the workload of defect classification, thereby improving the efficiency of defect detection.
    Type: Grant
    Filed: March 31, 2023
    Date of Patent: May 7, 2024
    Assignee: CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED
    Inventors: Guannan Jiang, Annan Shu, Qiangwei Huang
  • Patent number: 11961222
    Abstract: A mask inspection method including the steps of obtaining an image of a mask including a first region having a plurality of first openings, and a second region having a plurality of second openings, sectioning the image into a first partial image corresponding to the first region and a second partial image corresponding to the second region, respectively, inspecting the first region of the mask based on the first partial image, and inspecting the second region of the mask based on the second partial image.
    Type: Grant
    Filed: April 20, 2021
    Date of Patent: April 16, 2024
    Assignees: Samsung Display Co., Ltd., HIMS CO., LTD.
    Inventors: Sangdon Hwang, BongSuk Kim, TaeHyun Kim, Mihye Kwon, Ilha Song, Jimin Woo
  • Patent number: 11921494
    Abstract: An automated line clearance inspection system will enable fast and accurate inspection of packaging equipment lines to reduce or prevent product intermixing. The system includes a set of image capturing devices that are controlled via a central processing unit whereby end run images are compared with control images to determine if a line is cleared.
    Type: Grant
    Filed: October 8, 2020
    Date of Patent: March 5, 2024
    Inventor: Raymond H Scherzer
  • Patent number: 11810284
    Abstract: To find repeater defects, optical-inspection results for one or more semiconductor wafers are obtained. Based on the optical-inspection results, a plurality of defects on the one or more semiconductor wafers is identified. Defects, of the plurality of defects, that have identical die locations on multiple die of the one or more semiconductor wafers are classified as repeater defects. Based on the optical-inspection results, unsupervised machine learning is used to cluster the repeater defects into a plurality of clusters. The repeater defects are scored. Scoring the repeater defects includes assigning respective scores to respective repeater defects based on degrees to which clusters in the plurality of clusters include multiple instances of the respective repeater defects. The repeater defects are ranked based on the respective scores.
    Type: Grant
    Filed: February 24, 2021
    Date of Patent: November 7, 2023
    Assignee: KLA Corporation
    Inventors: Jheng Sian Lin, Boon Kiat Tay
  • Patent number: 11756189
    Abstract: A computer-implemented method and system provides a labelled training dataset. At least one sub-object or component is selected in a CAD model of an object comprising a plurality of sub-objects or components. A plurality of different render images is generated and the different render images contain the at least one selected sub-object or component. The different render images are labelled on the basis of the CAD model to provide a training dataset based on the labelled render images. Also, a computer-implemented method provides a trained function that is trained on the training dataset. A computer-implemented image recognition method uses such the trained function. An image recognition system comprising an image capture device and a data processing system carries out the image recognition method. A computer program comprises instructions that cause the system to carry out the methods.
    Type: Grant
    Filed: May 14, 2021
    Date of Patent: September 12, 2023
    Assignee: Siemens Aktiengesellschaft
    Inventors: Simon Hergenröder, Maximilian Metzner, Sören Weissert
  • Patent number: 11733603
    Abstract: A proximity correction method for a semiconductor manufacturing process includes: generating a plurality of pieces of original image data from a plurality of sample regions, with the sample regions selected from layout data used in the semiconductor manufacturing process; removing some pieces of original image data that overlap with each other from the plurality of pieces of original image data, resulting in a plurality of pieces of input image data; inputting the plurality of pieces of input image data to a machine learning model; obtaining a prediction value of critical dimensions of target patterns included in the plurality of pieces of input image data from the machine learning model; measuring a result value for critical dimensions of actual patterns corresponding to the target patterns on a semiconductor substrate on which the semiconductor manufacturing process is performed; and performing learning of the machine learning model using the prediction value and the result value.
    Type: Grant
    Filed: February 22, 2021
    Date of Patent: August 22, 2023
    Inventors: Taehoon Kim, Jaeho Jeong, Jeonghoon Ko, Jongwon Kim, Yejin Jeong, Changwook Jeong
  • Patent number: 11720745
    Abstract: An image processing apparatus is described comprising a processor configured to receive a video and digital ink annotated on the video. For at least a first frame of the video, the processor is configured to compute a model describing pixels of a bounding region of the ink. For a frame of the video, the processor is configured to compute a second region corresponding to the bounding region. The processor is configured to compute a comparison between the second region and the model and update the ink using the comparison.
    Type: Grant
    Filed: June 13, 2017
    Date of Patent: August 8, 2023
    Assignee: Microsoft Technology Licensing, LLC
    Inventors: Johan Windmark, Gustav Träff
  • Patent number: 11662296
    Abstract: A system for dissociating cells from a cell culture vessel. The system comprises an imaging system configured to image a plurality of cells in a cell culture vessel being dissociated from at least one surface of the cell culture vessel by at least one cell dissociation agent; and at least one controller coupled to the imaging system and configured to: control the imaging system to capture a sequence of images of at least some cells in the plurality of cells during dissociation; and identify when to neutralize the at least one cell dissociation agent using the sequence of images.
    Type: Grant
    Filed: May 18, 2018
    Date of Patent: May 30, 2023
    Assignee: Thrive Bioscience, Inc.
    Inventors: Kam Lin Wong, Melanie Scully
  • Patent number: 11544440
    Abstract: A method for calibrating a process model and training an inverse process model of a patterning process. The training method includes obtaining a first patterning device pattern from simulation of an inverse lithographic process that predicts a patterning device pattern based on a wafer target layout, receiving wafer data corresponding to a wafer exposed using the first patterning device pattern, and training an inverse process model configured to predict a second patterning device pattern using the wafer data related to the exposed wafer and the first patterning device pattern.
    Type: Grant
    Filed: May 23, 2019
    Date of Patent: January 3, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Marinus Aart Van Den Brink, Yu Cao, Yi Zou
  • Patent number: 11410300
    Abstract: A defect inspection device includes at least one memory storing instructions and at least one processor.
    Type: Grant
    Filed: February 26, 2020
    Date of Patent: August 9, 2022
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ryusuke Hirai, Kyoka Sugiura, Yukinobu Sakata, Akiyuki Tanizawa
  • Patent number: 11410291
    Abstract: A method includes receiving one or more sets of wafer data, identifying one or more primitives from one or more shapes in one or more layers in the one or more sets of wafer data, classifying each of the one or more primitives as a particular primitive type, identifying one or more primitive characteristics for each of the one or more primitives, generating a primitive database of the one or more primitives, generating one or more rules based on the primitive database, receiving one or more sets of design data, applying the one or more rules to the one or more sets of design data to identify one or more critical areas, and generating one or more wafer inspection recipes including the one or more critical areas for an inspection sub-system.
    Type: Grant
    Filed: July 6, 2020
    Date of Patent: August 9, 2022
    Assignee: KLA Corporation
    Inventors: Prasanti Uppaluri, Rajesh Manepalli, Ashok V. Kulkarni, Saibal Banerjee, John Kirkland
  • Patent number: 11405560
    Abstract: A medical imaging device configured to spatially resolve recording of multispectral video data of an examination area of a patient including a light source having multiple optical emitters with different wavelengths in the visible and NIR spectral range. The light source has an emitter whose wavelength lies in the range of ±50% of its half-width around the intersection of the blue and green filter curves or the green and red filter curves, and the exposure control and the data processing means are arranged to separately detect the affected two of the red and green or the green and blue colour signals in an exposure pattern with activation of the emitter at the intersection point and to evaluate them in the multispectral analysis with mutually different wavelengths shifted by the two affected filter curves as two supporting point wavelengths.
    Type: Grant
    Filed: March 8, 2021
    Date of Patent: August 2, 2022
    Assignees: KARL STORZ SE & Co. KG, DIASPECTIVE VISION GmbH
    Inventors: Axel Kulcke, Hannes Köhler, Amadeus Holmer
  • Patent number: 11321585
    Abstract: Provided is an image classification device that facilitates efficient creation of teacher data and comprehensive evaluation on a basis of knowledge and experience of the user.
    Type: Grant
    Filed: April 14, 2017
    Date of Patent: May 3, 2022
    Assignee: Hitachi High-Tech Corporation
    Inventors: Yaku Maeda, Akira Ikeuchi, Shigeru Kawamata, Hiromi Mise, Akira Sawaguchi
  • Patent number: 11295435
    Abstract: A product defect detection method, device and system are disclosed. The method comprises: constructing a defect detection framework including segmentation networks, a concatenating network and a classification network, and setting a quantity of the segmentation network according to product defect types, wherein each segmentation network corresponds to a defect type; concatenating the sample image with the mask image output by each segmentation network by using the concatenating network to obtain a concatenated image; training the classification network by using the concatenated images to obtain a classification network capable of correctly identifying a product defect and a defect type; and when performing product defect detection, inputting a product image acquired into the defect detection framework, and detecting a product defect and a defect type existing in the product by using the segmentation networks, the concatenating network and the classification network.
    Type: Grant
    Filed: August 29, 2020
    Date of Patent: April 5, 2022
    Assignee: GOERTEK INC.
    Inventors: Jie Liu, Jifeng Tian, Wenchao Zhang, Yifan Zhang
  • Patent number: 11276552
    Abstract: There are provided: a method for image adjustment using a charged particle beam device, and a charged particle beam system, capable of appropriately adjusting a contrast and brightness as well as a focus for a measurement region present in a deep portion of a sample even when a depth of the measurement region is unknown. A method for image adjustment performed by a computer system controlling a charged particle beam device includes: by the computer system, specifying a measurement region from a captured image of a sample; performing centering processing based on the specified measurement region; extracting the measurement region in a field of view that has undergone the centering processing or the image that has undergone the centering processing; adjusting a contrast and brightness for the extracted measurement region; and adjusting a focus for the measurement region in which the contrast and brightness have been adjusted.
    Type: Grant
    Filed: November 18, 2020
    Date of Patent: March 15, 2022
    Assignee: Hitachi High-Tech Corporation
    Inventors: Yuki Tomizawa, Kazunari Asao, Kazuyuki Hirao
  • Patent number: 11270152
    Abstract: The application provides an image detection method, an image detection apparatus, and a patterning control method, the image detection method including: identifying an input image to obtain image feature data of the input image; comparing the image feature data with preset image feature data in a preset image feature database to obtain deviation data of the input image; wherein the input image is a pattern image of a patterned structure. By intelligently detecting the pattern image of the patterned structure, the accuracy of the detection is improved, thereby reducing the labor input cost.
    Type: Grant
    Filed: May 23, 2019
    Date of Patent: March 8, 2022
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Hong Wang, Zhaoyue Li
  • Patent number: 11250366
    Abstract: The invention provides a dynamic risk analyzer (DRA) that periodically assesses real-time or historic process data, or both, associated with an operations site, such as a manufacturing, production, or processing facility, including a plant's operations, and identifies hidden near-misses of such operation, when in real time the process data appears otherwise normal. DRA assesses the process data in a manner that enables operating personnel including management at a facility to have a comprehensive understanding of the risk status and changes in both alarm and non-alarm based process variables. The hidden process near-miss data may be analyzed alone or in combination with other process data and/or data resulting from prior near-miss situations to permit strategic action to be taken to reduce or avert the occurrence of adverse incidents or catastrophic failure of a facility operation.
    Type: Grant
    Filed: June 8, 2020
    Date of Patent: February 15, 2022
    Assignee: Near-Miss Management LLC
    Inventors: Matthew L. Dering, Ankur Pariyani, Ulku G. Oktem, Daniel Shumway, Brett Emaus, Steven DeLaurentis
  • Patent number: 11215506
    Abstract: A substrate processing module includes a process chamber configured to perform a treatment process on a substrate; a transfer chamber provided on a first side of the process chamber, the substrate being transferred between the process chamber and the transfer chamber; an optical emission spectroscopy (OES) system provided on a second side of the process chamber and configured to monitor the process chamber; and a reference light source disposed in the transfer chamber and configured to emit a reference light to calibrate the OES system.
    Type: Grant
    Filed: January 29, 2021
    Date of Patent: January 4, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyeonghun Kim, Jeongil Mun, Hyung Joo Lee, Jongwoo Sun
  • Patent number: 11187975
    Abstract: A correction pattern generation device includes a processor configured to receive pattern information for a mask including a defect in a pattern formed on the mask, generate a correction pattern candidate for correcting the defect, calculate a correction difficulty degree for the correction pattern candidate, and select a correction pattern from correction pattern candidates based on the calculated correction difficulty degree for each correction pattern candidate if more than one correction pattern candidate for correcting the defect is generated.
    Type: Grant
    Filed: February 27, 2019
    Date of Patent: November 30, 2021
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Keiko Morishita
  • Patent number: 11158039
    Abstract: A system and method for three dimensional (3D) vision inspection using a 3D vision system. The system and method comprising acquiring at least one 3D image of a 3D object using the 3D vision system, using the 3D vision system; extracting a 3D visible runtime mask of the 3D image; using the 3D vision system, comparing the 3D runtime visible mask to a 3D reference visible mask; and, using the 3D vision system, determining if a difference of pixels exists between the 3D runtime visible mask and the 3D reference visible mask.
    Type: Grant
    Filed: June 24, 2016
    Date of Patent: October 26, 2021
    Assignee: COGNEX CORPORATION
    Inventors: David J Michael, Gang Liu, Ali Zadeh
  • Patent number: 11127192
    Abstract: In some embodiments, techniques are provided for analyzing the manufacturability or fabricability of objects based on segmented designs. In some embodiments, a scanning device scans a manufacturing device and/or an object manufactured by the manufacturing device to characterize a manufacturing capability of the manufacturing device. A paintbrush pattern may be determined based on the characterization, and a proposed design may be determined to be fabricable or non-fabricable using the paintbrush pattern.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: September 21, 2021
    Assignee: X Development LLC
    Inventors: Sylvia J. Smullin, Albin Lee Jones, Joseph Sargent, Marina Dolivo
  • Patent number: 11114324
    Abstract: Systems and methods for detecting defect candidates on a specimen are provided. One method includes, after scanning of at least a majority of a specimen is completed, applying one or more segmentation methods to at least a substantial portion of output generated during the scanning thereby generating two or more segments of the output. The method also includes separately detecting outliers in the two or more segments of the output. In addition, the method includes detecting defect candidates on the specimen by applying one or more predetermined criteria to results of the separately detecting to thereby designate a portion of the detected outliers as the defect candidates.
    Type: Grant
    Filed: October 15, 2019
    Date of Patent: September 7, 2021
    Assignee: KLA Corp.
    Inventors: Martin Plihal, Erfan Soltanmohammadi, Prasanti Uppaluri, Mohit Jani, Chris Maher
  • Patent number: 11099134
    Abstract: An optical examination device is designed to detect properties of a semiconductor component. The device comprises a first illumination arrangement, a second illumination arrangement and an imaging device, where the first illumination arrangement emits infrared light onto a first surface of the semiconductor component, which faces away from the imaging device (camera). The infrared light fully penetrates the semiconductor component at least proportionally. The second illumination arrangement emits visible light onto a second surface of the semiconductor component, which faces the imaging device. The imaging device is designed and arranged to detect the light spectrum emitted from both the first and the second illumination arrangement, and as a result of a subsequent image evaluation on the basis of both the visible and the infrared light spectrum, to provide a separate image reduction for determining property defects or damage of the semiconductor component.
    Type: Grant
    Filed: September 19, 2017
    Date of Patent: August 24, 2021
    Assignee: MUEHLBAUER GMBH & CO. KG
    Inventors: Uladimir Prakapenka, Stephan Spichtinger, Rainer Miehlich
  • Patent number: 11092899
    Abstract: A method for manufacturing a lithographic mask for an integrated circuit includes performing an optical proximity correction (OPC) process to an integrated circuit mask layout to produce a corrected mask layout. The method further includes performing an inverse lithographic technology (ILT) process to the corrected mask layout to enhance the corrected mask layout to produce an OPC-ILT-enhanced mask layout. The method also includes performing an inverse lithographic technology (ILT) process to the corrected mask layout to enhance the corrected mask layout to produce an OPC-ILT-enhanced mask layout.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: August 17, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsu-Ting Huang, Tung-Chin Wu, Shih-Hsiang Lo, Chih-Ming Lai, Jue-Chin Yu, Ru-Gun Liu, Chin-Hsiang Lin
  • Patent number: 11067901
    Abstract: A method including: obtaining a logistic mathematical model predicting the formation of a physical structure created using a patterning process; evaluating the logistic mathematical model to predict formation of a part of the physical structure and generate an output; and adapting, based on the output, an aspect of the patterning process.
    Type: Grant
    Filed: November 29, 2017
    Date of Patent: July 20, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Scott Anderson Middlebrooks, Adrianus Cornelis Matheus Koopman, Markus Gerardus Martinus Maria Van Kraaij, Maxim Pisarenco
  • Patent number: 11051991
    Abstract: A method for inspecting absorbent articles is provided. The inspection is performed using an inspection algorithm generated with a convolutional neural network having convolutional neural network parameters. The convolutional neural network parameters are generated by a training algorithm. Based on the inspection, characteristics of the absorbent articles, such as defects, can be identified. Absorbent articles having identified characteristics can be rejected, or other actions can be taken.
    Type: Grant
    Filed: March 24, 2020
    Date of Patent: July 6, 2021
    Assignee: The Procter & Gamble Company
    Inventors: Paul Anthony Kawka, Stephen Michael Varga, Aitzaz Ahmad
  • Patent number: 11029359
    Abstract: A model is generated for predicting failures at the wafer production level. Input data from sensors is stored as an initial dataset, then data exhibiting excursions or useless impact is removed from the dataset. The dataset is converted into target features, where the target features are useful in predicting whether a wafer will be normal or not. A trade-off between positive and negative results is selected, and a plurality of predictive models are created. The final model is selected based on the trade-off criteria, and deployed.
    Type: Grant
    Filed: March 8, 2019
    Date of Patent: June 8, 2021
    Assignee: PDF Solutions, Inc.
    Inventors: Tomonori Honda, Lin Lee Cheong, Lakshmikar Kuravi
  • Patent number: 11022966
    Abstract: An image-based Artificial Neural Networks (ANN) is used for photomask modeling, which can self-construct an internal representation of the photomask manufacturing process, therefore allowing the modeling process to become unfettered by the limitations of existing mathematical/statistical tools, thus greatly reduces/eliminates the effort needed from tedious and costly model-builders. The ANN model requires mask layout data converted into image pixel form. In ANN training phase a first circuit image and its existing SEM image are modeled via multiple layers of convolution and rectification to pick out the salient features of transformed image. In ANN testing phase, a second circuit image and its existing SEM image are compared and verified to have a difference smaller than the predetermined requirement. The satisfactory second circuit image is converted back from pixel form to circuit layout data for photomask writing.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: June 1, 2021
    Assignee: SYNOPSYS, INC.
    Inventors: Parikshit Kulkarni, Dan Hung, John Gookassian
  • Patent number: 10997713
    Abstract: According to one embodiment, an inspection device includes: an image generation device configured to generate a second image corresponding to a first image; and a defect detection device configured to estimate a nonlinear shift based on a plurality of partial region sets, each of the partial region sets including a first partial region in the first image and a second partial region in the second image corresponding to the first partial region, and detect a defect in the second image from the first image.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: May 4, 2021
    Assignees: KABUSHIKI KAISHA TOSHIBA, NuFlare Technology, Inc.
    Inventors: Takeshi Morino, Hideaki Okano, Yoshinori Honguh, Ryoichi Hirano, Masataka Shiratsuchi, Hideaki Hashimoto
  • Patent number: 10997736
    Abstract: Embodiments relate to a normalized cross correlation (NCC) circuit that can perform a normalized cross correlation between input patch data and kernel data. An interface circuit of an image signal processor receives input patch data from a source. Input patch data is data that represents a portion of a frame of image data from the source. The NCC circuit includes a filtering circuit and a normalization circuit. The filtering circuit receives the input patch data from the interface circuit and performs a convolution on the received input patch data or processed patch data derived from the input patch data with kernel data to produce convolution output data. The normalization circuit computes a normalized score output based on the convolution output data and the kernel data. The normalized score output includes normalization scores for each location of the convolution output data.
    Type: Grant
    Filed: August 10, 2018
    Date of Patent: May 4, 2021
    Assignee: Apple Inc.
    Inventors: Muge Wang, Junji Sugisawa
  • Patent number: 10976264
    Abstract: According to one embodiment, an analysis system includes a display controller. The display controller is configured to display a first comprehensive image and a first individual image from a plurality of workpiece data. The plurality of workpiece data relate to a plurality of workpieces, are classified into a plurality of categories, and are classified into one of a plurality of classes. The first comprehensive image is based on the plurality of workpiece data. The first individual image is based on a part of the plurality of workpiece data classified into one of the plurality of categories.
    Type: Grant
    Filed: September 9, 2019
    Date of Patent: April 13, 2021
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventor: Shun Hirao
  • Patent number: 10957034
    Abstract: There are provided a system and method of examination on a specimen, the method comprising: obtaining an inspection image of a die and generating a defect map using one or more reference images; selecting a plurality of defect candidates from the defect map; and generating for each defect candidate, a respective modified inspection image patch, comprising: extracting an image patch surrounding the defect candidate respectively from the inspection image and each reference image; and modifying the inspection image patch, comprising: estimating noise representative of intensity variations on the inspection image patch, the noise including a first type of noise representative of a polynomial relation between the inspection and reference image patches, and a second type of noise representative of a spatial anomaly in the inspection image patch, and removing at least one of the first and second types of noise from the inspection image patch based on the estimated noise.
    Type: Grant
    Filed: January 17, 2019
    Date of Patent: March 23, 2021
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Elad Cohen, Shahar Arad
  • Patent number: 10886504
    Abstract: This disclosure provides techniques for assessing quality of a deposited film layer of an organic light emitting diode (“OLED”) device. An image is captured and filtered to identify a deposited layer that is to be analyzed. Image data representing this layer can be optionally converted to brightness (grayscale) data. A gradient function is then applied to emphasize discontinuities in the deposited layer. Discontinuities are then compared to one or more thresholds and used to ascertain quality of the deposited layer, with optional remedial measures then being applied. The disclosed techniques can be applied in situ, to quickly identify potential defects such as delamination before ensuing manufacturing steps are applied. In optional embodiments, remedial measures can be taken dependent on whether defects are determined to exist.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: January 5, 2021
    Assignee: Kateeva, Inc.
    Inventor: Christopher Cocca
  • Patent number: 10878557
    Abstract: In a device for detecting a defect, the device includes: an image pickup unit including pixels, the image pickup unit generating a substrate image by picking up an image of a substrate having patterns formed on a top surface thereof; and a controller for detecting a defect located on the substrate, based on the substrate image, wherein the substrate image includes pattern images corresponding to the patterns, wherein each of the pattern images includes pixel values, wherein the controller detects the defect by comparing weights of pixel values for each of the pattern images.
    Type: Grant
    Filed: September 19, 2018
    Date of Patent: December 29, 2020
    Assignee: Samsung Display Co., Ltd.
    Inventors: Hyung Jin Lee, Dae Hong Kim, Sung Hoon Yang, Se Yoon Oh
  • Patent number: 10866506
    Abstract: A method for manufacturing a photo mask for a semiconductor device includes receiving a plurality of hotspot regions of a mask layout corresponding to the semiconductor device. The method further includes classifying the plurality of hotspot regions into two or more hotspot groups such that same or similar hotspot regions are classified into same hotspot groups. The hotspot groups includes a first hotspot group that has at least two hotspot regions. The method also includes correcting a first hotspot region of the first hotspot group to generate an enhancement of the first hotspot region and correcting other hotspot regions of the first hotspot group using the enhancement of the first hotspot region to generate enhancements of other hotspot regions of the first hotspot group.
    Type: Grant
    Filed: September 20, 2019
    Date of Patent: December 15, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Fu An Tien, Hsu-Ting Huang, Ru-Gun Liu
  • Patent number: 10859507
    Abstract: A surface defect inspection method includes: acquiring an original image by capturing an image of a subject of an inspection; generating texture feature images by applying a filtering process using spatial filters to the original image; generating a feature vector at each position of the original image, by extracting a value at a corresponding position from each of the texture feature images, for each of the positions of the original image; generating an abnormality level image representing an abnormality level for each position of the original image, by calculating, for each of the feature vectors, an abnormality level in a multi-dimensional distribution formed by the feature vectors; and detecting a part having the abnormality level that is higher than a predetermined level in the abnormality level image as a defect portion or a defect candidate portion.
    Type: Grant
    Filed: February 28, 2018
    Date of Patent: December 8, 2020
    Assignee: JFE Steel Corporation
    Inventors: Takahiro Koshihara, Yoshiyuki Umegaki, Takahiko Oshige
  • Patent number: 10832396
    Abstract: Methods and systems for setting up inspection of a specimen with design and noise based care areas are provided. One system includes one or more computer subsystems configured for generating a design-based care area for a specimen. The computer subsystem(s) are also configured for determining one or more output attributes for multiple instances of the care area on the specimen, and the one or more output attributes are determined from output generated by an output acquisition subsystem for the multiple instances. The computer subsystem(s) are further configured for separating the multiple instances of the care area on the specimen into different care area sub-groups such that the different care area sub-groups have statistically different values of the output attribute(s) and selecting a parameter of an inspection recipe for the specimen based on the different care area sub-groups.
    Type: Grant
    Filed: March 25, 2019
    Date of Patent: November 10, 2020
    Assignee: KLA-Tencor Corp.
    Inventors: Brian Duffy, Martin Plihal, Santosh Bhattacharyya, Gordon Rouse, Chris Maher, Erfan Soltanmohammadi
  • Patent number: 10824080
    Abstract: A method includes reducing refractive index of an environment at or adjacent an extreme ultraviolet (EUV) mask to below 1.0. The EUV mask is in an EUV lithography system that forms a projection beam of EUV radiation using EUV radiation emitted from a radiation source. The method further includes exposing the EUV mask to the projection beam of EUV radiation.
    Type: Grant
    Filed: October 24, 2019
    Date of Patent: November 3, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Tsung Shih, Tsung-Chih Chien, Tsung Chuan Lee
  • Patent number: 10754261
    Abstract: Metrology target designs on the reticle and on the wafer, and target design and processing methods are provided. Target designs comprise coarse pitched periodic structures having fine pitched sub-elements, which vary in sub-element CD and/or height, an orthogonal periodic structure, perpendicular to the measurement direction, with an orthogonal unresolved pitch among periodically recurring bars, which provide a calibration parameter for achieving well-printed targets. Orthogonal periodic structures may be designed on the reticle and be unresolved, or be applied in cut patterns on the process layer, with relatively low sensitivity to the cut layer overlay. Designed targets may be used for overlay metrology as well as for measuring process parameters such as scanner aberrations and pitch walk.
    Type: Grant
    Filed: June 6, 2017
    Date of Patent: August 25, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Yoel Feler, Vladimir Levinski
  • Patent number: 10752039
    Abstract: A document including a Directed Self-Assembly (DSA) pattern including a unique and randomized pattern embedded on the document, where the DSA is formed by using two different-length polymer chains.
    Type: Grant
    Filed: February 27, 2019
    Date of Patent: August 25, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Benjamin David Briggs, Lawrence A. Clevenger, Bartlet H. DeProspo, Michael Rizzolo
  • Patent number: 10742890
    Abstract: An imaging apparatus includes an image sensor and a controller. The controller acquires an infrared image generated by the image sensor when infrared light is higher than a predetermined light amount. The controller acquires a normal image generated by the image sensor when the infrared light is lower than the predetermined light amount. The controller generates a synthesized image on the basis of the infrared image and the normal image. Thus, an image that includes colors and high luminance contrast may be generated in a dark environment without a plurality of infrared light sources.
    Type: Grant
    Filed: October 18, 2017
    Date of Patent: August 11, 2020
    Assignee: KYOCERA Corporation
    Inventor: Takatoshi Nakata
  • Patent number: 10733337
    Abstract: A method for the simulation of a photolithographic process for generating a wafer structure includes providing an aerial image of a region of a mask that includes the mask structure, prescribing a range of intensities, determining auxiliary or potential wafer structures for different threshold values within the range of intensities, determining the number of structure elements for each of the auxiliary or potential wafer structures, determining a stability range consisting of successive threshold values from the threshold values that were used for the determination of auxiliary or potential wafer structures, within the stability range the number of structure elements of the auxiliary or potential wafer structures remaining constant or lying within a prescribed range, and determining the wafer structure on the basis of the aerial image and a threshold value within the stability range. A microscope for carrying out the method is also provided.
    Type: Grant
    Filed: February 3, 2017
    Date of Patent: August 4, 2020
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Hans Michael Solowan
  • Patent number: 10691864
    Abstract: Implementations of the disclosure provide a method of fabricating an integrated circuit (IC). The method includes receiving an IC design layout; performing optical proximity correction (OPC) process to the IC design layout to produce a corrected IC design layout; and verifying the corrected IC design layout using a machine learning algorithm. The post OPC verification includes using the machine learning algorithm to identify one or more features of the corrected IC design layout; comparing the one or more identified features to a database comprising a plurality of features; and verifying the corrected IC design layout based on labels in the database associated with the plurality of features.
    Type: Grant
    Filed: November 14, 2017
    Date of Patent: June 23, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hung-Chun Wang, Cheng Kun Tsai, Wen-Chun Huang, Wei-Chen Chien, Chi-Ping Liu
  • Patent number: 10656989
    Abstract: Trend estimation for application-agnostic statistical fault detection of multi-process applications in environments with data trend includes at least one of: a multi-process application runs on a host. Statistical events are collected and sent to a statistical fault detector. The statistical fault detector creates one or more distributions and compares recent statistical event data to historical statistical event data and uses deviation from historical norm for fault detection. Trend is estimated, and if needed, removed from event data prior to the creation of distributions. Trend is estimated using spectral techniques, filter banks and Maximum Entry Spectral Estimation, and dominant frequencies are estimated and utilized to adapt to the environment.
    Type: Grant
    Filed: July 24, 2018
    Date of Patent: May 19, 2020
    Assignee: OPEN INVENTION NETWORK LLC
    Inventor: Allan Havemose
  • Patent number: 10658327
    Abstract: Provided are a chip bonding apparatus and bonding method.
    Type: Grant
    Filed: September 26, 2017
    Date of Patent: May 19, 2020
    Assignee: SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
    Inventors: Tianming Wang, Xiaoyu Jiang, Hai Xia, Feibiao Chen
  • Patent number: 10632023
    Abstract: A method for inspecting absorbent articles is provided. The inspection is performed using an inspection algorithm generated with a convolutional neural network having convolutional neural network parameters. The convolutional neural network parameters are generated by a training algorithm. Based on the inspection, characteristics of the absorbent articles, such as defects, can be identified. Absorbent articles having identified characteristics can be rejected, or other actions can be taken.
    Type: Grant
    Filed: May 31, 2018
    Date of Patent: April 28, 2020
    Assignee: The Procter & Gamble Company
    Inventors: Paul Anthony Kawka, Stephen Michael Varga, Aitzaz Ahmad, Paul Philip Thomas
  • Patent number: 10571406
    Abstract: One or more metrology objects and one or more metrology operations may be identified. A design-based representation of a first metrology object of the one or more metrology objects may be received. Furthermore, an image-based representation of the first metrology object of the one or more metrology objects may be received where the one or more metrology operations include a first metrology operation associated with the first metrology object that is to be performed on the image-based representation of the first metrology object. The design-based representation of the first metrology object may be mapped with the image-based representation of the first metrology object. The first metrology operation may be performed based on the mapping.
    Type: Grant
    Filed: November 2, 2018
    Date of Patent: February 25, 2020
    Assignee: Applied Materials Israel Ltd.
    Inventors: Ron Katzir, Imry Kissos, Lavi Shachar, Amit Batikoff, Shaul Cohen, Noam Zac
  • Patent number: 10571799
    Abstract: A method for optimizing a binary mask pattern includes determining, by a processor, an evaluation value based on a comparison between a design pattern and a substrate pattern simulated based on the binary mask pattern. The method also includes, based on the evaluation value, using, by the processor, a gradient-based optimization method to generate a first adjusted binary mask pattern. The method also includes determining, by the processor, a first updated evaluation value based on a comparison between the design pattern and a first updated substrate pattern simulated based on the first adjusted binary mask pattern. The method also includes, based on the first updated evaluation value, using, by the processor, a product of a Hessian matrix and an arbitrary vector to generate a second adjusted binary mask pattern. The method also includes simulating, by the processor, a second updated substrate pattern based on the second adjusted binary mask pattern.
    Type: Grant
    Filed: August 27, 2018
    Date of Patent: February 25, 2020
    Assignee: ASML US, LLC
    Inventors: Jiangwei Li, Ke Zhao, Yuan He
  • Patent number: 10565703
    Abstract: The image inspection device includes: a first extraction unit configured to repeatedly execute processing for moving a predetermined region by a predetermined distance on an inspection target image to extract an image of the predetermined region after movement as a first determination image until a predetermined first ratio of the inspection target image is included in a plurality of first determination images, and a second extraction unit configured to repeatedly execute processing for moving a predetermined region by a distance smaller than the predetermined distance on an image in the inspection target image including a first determination image where a determined degree satisfies a predetermined condition to extract an image of the predetermined region after movement as a second determination image until a predetermined second ratio of the image in the inspection target image including a first determination image is included in a plurality of second determination images.
    Type: Grant
    Filed: September 26, 2017
    Date of Patent: February 18, 2020
    Assignee: NEC Corporation
    Inventor: Hiroyuki Kobayashi
  • Patent number: 10560670
    Abstract: The present technology relates to an imaging apparatus, an imaging control method, and a program capable of obtaining a color image using infrared light without decreasing the frame rate. The imaging apparatus includes an imaging element including a first pixel and a second pixel, and a control unit that controls an exposure period of the imaging element and an emission period of the infrared light from the infrared light emission unit. The control unit controls to provide an exposure period of the first pixel and the second pixel in each of frame periods and provide a single exposure period being a period in which the first pixel alone is exposed, and controls so as to emit the infrared light within the single exposure period. The present technology is applicable to a surveillance camera, for example.
    Type: Grant
    Filed: November 21, 2016
    Date of Patent: February 11, 2020
    Assignee: SONY SEMICONDUCTOR SOLUTIONS CORPORATION
    Inventor: Yasushi Sato