Of Moving Material Between Zones Having Different Pressures And Inhibiting Change In Pressure Gradient Therebetween Patents (Class 414/805)
  • Patent number: 8313277
    Abstract: A variety of process modules are described for use in semiconductor manufacturing processes.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: November 20, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Publication number: 20120288355
    Abstract: A method for storing wafers is disclosed. A plurality of wafers are placed into the wafer cassette box. The wafer cassette box is hermetically sealed and pumped down to vacuum for the wafer storage. Alternatively, the wafers carried by a holder conveyed on a wafer conveyor are placed into a pump-down chamber enclosing a section of the wafer conveyor. The pump-down chamber is hermetic sealed and pumped down to vacuum for the wafer storage on the wafer conveyor.
    Type: Application
    Filed: May 11, 2011
    Publication date: November 15, 2012
    Inventors: Ming-Teng Hsieh, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20120283865
    Abstract: Various embodiments describe a method of quantifying bow in a wafer. In one embodiment, the method includes measuring a first plurality of distances from a first sensor to a first surface of the wafer to calculate the bow in the wafer. The first sensor is positioned outside of a set of process modules of the plasma processing system. A determination is made whether the calculated bow of the wafer is within a pre-determined range. If the calculated bow of the wafer is within the pre-determined range, the wafer is moved into a process module of the set of process modules for processing and a recipe for processing the wafer is adjusted based on the calculated bow of the wafer. If the calculated bow of the wafer is outside the pre-determined range, the wafer is removed from the plasma processing system. Other methods are described as well.
    Type: Application
    Filed: June 25, 2012
    Publication date: November 8, 2012
    Applicant: Lam Research Corporation
    Inventor: Andrew D. Bailey, III
  • Patent number: 8292563
    Abstract: A sorter for handling and sorting semiconductor wafers is provided. The sorter has a housing, a handling and transport device and at least one nonproduction wafer buffer module. The housing has at least one holding area for interfacing one or more semiconductor wafer carriers to the housing. The housing defines an access path along which semiconductor wafers are transported between the housing and the one or more semiconductor wafer carriers. The handling and transport device is connected to the housing for transporting semiconductor wafers along the access path. The at least one nonproduction wafer buffer module is connected to the housing. The at least one nonproduction wafer buffer module buffers nonproduction wafers sorted by the sorter to one or more semiconductor wafer carriers.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: October 23, 2012
    Assignee: Brooks Automation, Inc.
    Inventor: Clinton M. Haris
  • Patent number: 8287648
    Abstract: A semiconductor processing apparatus includes a reaction chamber, a loading chamber, a movable support, a drive mechanism, and a control system. The reaction chamber includes a baseplate. The baseplate includes an opening. The movable support is configured to hold a workpiece. The drive mechanism is configured to move a workpiece held on the support towards the opening of the baseplate into a processing position. The control system is configured to create a positive pressure gradient between the reaction chamber and the loading chamber while the workpiece support is in motion. Purge gases flow from the reaction chamber into the loading chamber while the workpiece support is in motion. The control system is configured to create a negative pressure gradient between the reaction chamber and the loading chamber while the workpiece is being processed.
    Type: Grant
    Filed: February 9, 2009
    Date of Patent: October 16, 2012
    Assignee: ASM America, Inc.
    Inventors: Joseph C Reed, Eric J Shero
  • Publication number: 20120256435
    Abstract: A device for use in the semiconductor industry includes a robotic arm whose end effector includes at least two prongs designed to hold a substrate carrier. A pushing member located between the prongs can move independently of the prongs and is configured to exert force against the substrate carrier while the prongs are retracted from the substrate carrier, after the substrate carrier has been brought to its intended position. In this manner, the position of the substrate carrier is maintained at its intended position as the prongs are retracted. Each of the prongs may include a claw or gripping member for grasping the substrate carrier.
    Type: Application
    Filed: April 11, 2011
    Publication date: October 11, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: DAVID J. ALTKNECHT, ROBERT E. ERICKSON, STUART STEPHEN PAPWORTH PARKIN, CHRISTOPHER O. LADA, MAHESH SAMANT
  • Patent number: 8282334
    Abstract: The invention relates to methods and apparatus in which a plurality of ALD reactors are placed in a pattern in relation to each other, each ALD reactor being configured to receive a batch of substrates for ALD processing, and each ALD reactor comprising a reaction chamber accessible from the top. A plurality of loading sequences is performed with a loading robot. Each loading sequence comprises picking up a substrate holder carrying a batch of substrates in a storage area or shelf, and moving said substrate holder with said batch of substrates into the reaction chamber of the ALD reactor in question.
    Type: Grant
    Filed: August 1, 2008
    Date of Patent: October 9, 2012
    Assignee: Picosun Oy
    Inventors: Sven Lindfors, Juha A. Kustaa-Adolf Poutiainen
  • Patent number: 8277161
    Abstract: A substrate processing apparatus is equipped with a processing furnace for processing wafers, a loading port which is used for carrying a pod containing substrates into and out of a case, a pod transport mechanism for transporting the container at least from the entrance and exit place, and a top storage which is disposed above the processing furnace in such a manner that at least part of the top storage overlaps with the processing furnace in the direction of gravity.
    Type: Grant
    Filed: June 23, 2008
    Date of Patent: October 2, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yukinori Aburatani, Seiyo Nakashima
  • Patent number: 8267632
    Abstract: A system for processing semiconductor wafers including a plurality of robotic facilities serially joined to each other to form a substantially linear transport chamber through which wafers can be transferred from each facility, wherein the substantially linear transport chamber is sealed to hold a controlled atmosphere and each of the plurality of robotic facilities includes at least one scara robot having three arm links serially coupled to one another and having a substrate holder rotatably coupled at a distal end of the three arm links, the plurality of robot facilities being configured to effect the transfer of wafers through the substantially linear transport chamber via handoff a wafer between neighboring scara robots, and a multi-entry process module coupled to at least one of the plurality of robotic facilities, where each entry of the multi-entry process module is accessed by the at least one of the at least one scara robot.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: September 18, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Publication number: 20120222620
    Abstract: Provided are atomic layer deposition apparatus and methods including a rotating wheel with a plurality of substrate carriers for continuous processing of substrates. The processing chamber may have a loading station on the front end which is configured with one or more robots to load and unload substrates from the substrate carriers without needing to stop the rotating wheel.
    Type: Application
    Filed: March 1, 2011
    Publication date: September 6, 2012
    Applicant: Applied Materials, Inc.
    Inventor: Joseph Yudovsky
  • Patent number: 8257013
    Abstract: The present invention provides a substrate treatment apparatus which sets substrate loading intervals to treatment chambers to a fixed value and prevents the occurrence of stagnancy of substrates in the treatment chambers. The substrate treatment apparatus includes a substrate conveyance chamber 5 which has a substrate conveyance device 11, a plurality of treatment chambers 6 to 9 in which a treatment time of at least one treatment chamber differs from treatment times of other treatment chambers and the respective treatment chambers are communicated with the conveyance chamber, and a control part 12 which controls a conveyance operation of the substrate conveyance device by setting treatment schedules of the substrates.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: September 4, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Satoshi Takano
  • Publication number: 20120219388
    Abstract: The chamber (2) includes a wall (5) having an opening (7) and a connection interface (8), a door (10), joining elements (12), elements for maneuvering the door (10) and actuating elements for control of the maneuvering elements. The container (3) includes a wall (14) having an opening (16), a connection interface (9), a door (18), joining elements (13) and elements for maneuvering the door that are functionally joined to the door, and the system also includes, functionally integrated, marking elements, that rest on the container and that can store data, elements for short-range data reading that are functionally complementary to the marking elements, elements for storing read data, elements for producing an output signal based on read or stored data, and elements for controlling the opening of the first door and thus the second door, that respond to the output signal received from the elements for producing an output signal.
    Type: Application
    Filed: September 22, 2010
    Publication date: August 30, 2012
    Applicant: SARTORIUS STEDIM ASEPTICS
    Inventor: Stephanie Armau
  • Publication number: 20120213615
    Abstract: A target object transfer method overcomes the limits to productivity encounted even if a process time of various processes is shortened. In the transfer method, each of the load-lock chambers is configured to store target objects. First objects not having been processed are carried out into the load-lock chambers, and processed second objects are carried out at the same time from a plurality of processing chambers to a transfer chamber using a transfer device. The processed second objects are carried at the same time into the load-lock chambers from the transfer chamber, and the first objects not having been processed are carried out at the same time to the transfer chamber from the load-lock chambers using the transfer device, and the first object not having been processed are carried into the processing chambers at the same time from the transfer chamber.
    Type: Application
    Filed: September 22, 2010
    Publication date: August 23, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hiromitsu Sakaue
  • Patent number: 8215891
    Abstract: A substrate treating apparatus includes a treating section, a table for receiving a FOUP (Front Opening Unified Pod) storing the substrates, and an attaching and detaching unit for a lid to/from an access opening of the FOUP placed on the table. A transport unit is movable to a transfer position opposed to the access opening of the FOUP, and has support members for supporting the substrates, the transport unit transporting the substrates held by the support members between the treating section and the FOUP. A substrate detecting unit detects the substrates stored in the FOUP with the lid detached, a support member detecting unit detects the support members of the transport unit, and a control unit determines whether to transport the substrates, with the lid detached and the transport unit moved to the transfer position, based on detection of the substrates, and detection of the support members.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: July 10, 2012
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Yukiteru Miyamoto
  • Publication number: 20120171382
    Abstract: A pressure lock system passes a wire along a wire path from a wire source at a high pressure first region to a destination at a low pressure second region. The pressure lock system includes a pressure lock chamber. A first conduit has an interior positioned to pass the wire along the path and is mounted for rotation. A second conduit has an interior positioned to pass the wire from the pressure lock chamber and is also mounted for rotation. A motor may drive rotation of the first conduit and the second conduit. Pumps may maintain a pressure of the pressure lock chamber lower than a pressure of the first region.
    Type: Application
    Filed: December 30, 2010
    Publication date: July 5, 2012
    Applicant: United Technologies Corporation
    Inventors: Richard S. Mullin, Igor V. Belousov, Oleg G. Pankov, Igor V. Gulyayev
  • Patent number: 8197177
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: June 12, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese, Raymond S. Ritter, Thomas A. Schaefer
  • Publication number: 20120103325
    Abstract: Systems and processes may be used for continuously processing a solid material such as a solid starch-bearing material at a non-atmospheric pressure. In some examples, the material is delivered to a process vessel at substantially the same non-atmospheric pressure condition as the process vessel so as to avoid shearing the material. In some examples, the solid material is continuously fed into a process vessel, continuously processed in the process vessel, and continuously discharged from the process vessel.
    Type: Application
    Filed: October 21, 2011
    Publication date: May 3, 2012
    Applicant: BEPEX INTERNATIONAL, LLC
    Inventors: Peter M. Koenig, Gregory J. Kimball, David Lonnie Phillips
  • Publication number: 20120099949
    Abstract: An apparatus for supporting a plurality of carriers or substrates is described. The apparatus includes a vacuum chamber and a rotatable support for supporting the plurality of carriers or substrates, wherein the support is provided within the vacuum chamber and is configured for rotating the supported plurality of carriers or substrates around a rotation axis.
    Type: Application
    Filed: October 28, 2010
    Publication date: April 26, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Reiner GERTMANN, Michael KÖNIG
  • Publication number: 20120059502
    Abstract: There is provided a substrate transfer method capable of preventing fine particles from adhering to a wafer. A substrate processing system 10 includes process modules 12 to 17 each having therein an inner space S1; a transfer module 11, having an inner space S2, connected to the process modules 12 to 17; and opening/closing gate valves 30 each partitioning the inner space S1 and the inner space S2. The transfer module 11 includes in the inner space S2 a transfer arm device 21 for holding a wafer W and for loading/unloading the wafer W into/from the process modules 12 to 17. The transfer arm device 21 holds the wafer W at a retreated position deviated from a facing position facing the gate valve 30 during an opening motion of the gate valve 30.
    Type: Application
    Filed: September 6, 2011
    Publication date: March 8, 2012
    Applicant: Tokyo Electron Limited
    Inventors: Eiki Endo, Tatsuya Ogi
  • Publication number: 20120051872
    Abstract: The present disclosure provides a system and method for processing a semiconductor substrate wherein a substrate is received at a load lock interface. The substrate is transferred from the load lock interface to a process module using a first module configured for unprocessed substrates. A manufacturing process is performed on the substrate within the process module. Thereafter, the substrate is transferred from the process module to the load lock interface using a second module configured for processed substrates.
    Type: Application
    Filed: August 26, 2010
    Publication date: March 1, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPAY, LTD.
    Inventors: Shao-Yen Ku, Chi-Ming Yang, Chiang Ming-Tsao, Yu-Fen Tzeng, Chin-Hsiang Lin
  • Patent number: 8118530
    Abstract: Substrate storage facility includes a container that holds a plurality of the substrates with the substrates lined up vertically with space therebetween and that is tubular having a generally quadrangular cross section, the container including a first opening provided on one end side and a second opening provided on the other end side spaced apart in a horizontal direction from the first opening, the first opening serving as an entrance for loading and unloading the substrate; a fan filter unit, provided in an area of the second opening of the container, that causes movement of air from the second opening toward the first opening; and a controller that controls an operation of the container transfer device such that the container is stored in the storage section with a side of the container where the fan filter unit is provided is positioned toward the traveling space, and the entrance side of the container is positioned away from the traveling space.
    Type: Grant
    Filed: September 12, 2007
    Date of Patent: February 21, 2012
    Assignee: Daifuku Co., Ltd.
    Inventor: Yoshiteru Ikehata
  • Publication number: 20120027543
    Abstract: The invention describes a method and a device for introducing and removing substrates. Substrates (5) are transported into a lock (2) by a transport means (4). A collection container (3) is located in said lock below the substrates (5) for collecting possible substrate fragments (19). The substrates (5) are removed together with the collection container (3) and the lock cover (18) once the lock (2) has been flooded. The lock cover (18) and the collection container (3) form an almost closed box around the substrates (5). After the substrates (5) have been replaced and the collection container (3) has been emptied, the new substrates (5) are introduced together with the collection container. All the steps are carried out in the machine cycle to ensure an effective production process.
    Type: Application
    Filed: April 23, 2010
    Publication date: February 2, 2012
    Inventors: Michael Reising, Stefan Kempf
  • Patent number: 8092137
    Abstract: The present invention relates to an apparatus for transferring a substrate through a gate for loading and unloading is provided, and includes a transfer chamber having the gate for loading and unloading the substrate, a transfer system, which is able to move up and down in the transfer chamber and transfers the substrate by accessing each gate, an adjustment section for a gas down flow, which produces the gas down flow in the transfer chamber and is able to adjust a speed of the gas down flow, and a control section for controlling the speed of the gas down flow using the movement speed of the substrate.
    Type: Grant
    Filed: September 24, 2008
    Date of Patent: January 10, 2012
    Assignee: Tokyo Electron Ltd.
    Inventor: Motoki Miyasaka
  • Publication number: 20120003064
    Abstract: A transport arrangement (100) for bi-directionally transporting substrates towards and from a load lock (5) comprises a first substrate handler (1) swivelable about a first axis (A1) and with at least two first substrate carriers (1a, 1b). A second substrate handler (20) swivelable about a second axis (A20) comprises at least four second substrate carriers (20a to 20d). First and second substrate carriers are mutually aligned respectively in one position of their respective swiveling trajectory paths as one of the first substrate carriers is aligned with one of the second substrate carriers and the other of the first substrate carriers is aligned with the load lock (5). The first substrate carriers (1a, 1b) are movable towards and from the load lock (5) once aligned there with and thereby form respectively external valves of the load lock (5).
    Type: Application
    Filed: March 11, 2010
    Publication date: January 5, 2012
    Applicant: OC OERLIKON BALZERS AG
    Inventors: Stephan Voser, Gerhard Dovids
  • Publication number: 20110318142
    Abstract: Apparatuses and methods for cooling and transferring wafers from low pressure environment to high pressure environment are provided. An apparatus may include a cooling pedestal and a set of supports for holding the wafer above the cooling pedestal. The average gap between the wafer and the cooling pedestal may be no greater than about 0.010 inches. Venting gases may be used to increase the pressure inside the apparatus during the transfer. In certain embodiment, venting gases comprise nitrogen.
    Type: Application
    Filed: September 7, 2011
    Publication date: December 29, 2011
    Inventors: Christopher Gage, Charles E. Pomeroy, David Cohen, Nagarajan Kalyanasundaram
  • Patent number: 8083463
    Abstract: A mini clean room for preventing wafer pollution includes a robot arm, a clean room body slidably disposed on the robot arm and at least one lock unit which is rotatably connected with the clean room body. During operation, the robot arm extends out of the clean room body to carry a wafer waiting to be processed, and then moves back into the clean room body which can provide an isolated and protected space for the wafer to avoid that the wafer is polluted. The present invention also discloses a method of using a mini clean room for preventing wafer pollution.
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: December 27, 2011
    Assignee: Inotera Memories, Inc.
    Inventors: Jhin-Siang Jhong, Jen Jui Cheng, Chen Lung Huang
  • Publication number: 20110305543
    Abstract: A substrate processing apparatus includes a holder configured to hold a substrate and carry the substrate into a process chamber, a waiting station located outside the process chamber in which the holder waits prior to carrying the substrate into the process chamber, a circulation path configured to circulate a gas throughout the waiting station, and an exhaust path formed in the circulation path and configured to exhaust the gas from the waiting station.
    Type: Application
    Filed: June 9, 2011
    Publication date: December 15, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Seiyo NAKASHIMA, Yuichi MATSUDA, Takashi NOGAMI, Shinobu SUGIURA, Tomoyuki YAMADA
  • Publication number: 20110299961
    Abstract: A coating system 1 comprises a swing station 2 including a swing module and an arrangement of chambers. The arrangement of chambers comprises a lock chamber 3 and a first coating chamber 4. The lock chamber 3 is configured as a combined lock-in/lock-out chamber. The arrangement of chambers has a first substantially linear transport path T1 indicated by dashed lines, and a second substantially linear transport path T2 indicated by dashed lines. The arrangement of the paths T1 and T2 establishes a dual track. The system 1 includes a transport system for moving a substrate through the arrangement of chambers 3, 4 along the first transport path T1 and/or along the second transport path T2 as indicated by arrows. One or particularly both chambers 3 and 4 comprise transfer means for transferring the substrate/carrier from the first path T1 to the second path T2 by a lateral movement of a dual or triple track section and/or from the second path T2 to the first path T1.
    Type: Application
    Filed: April 7, 2009
    Publication date: December 8, 2011
    Applicant: Applied Materials Inc.
    Inventors: Ralph Lindenberg, Erkan Koparal, Thomas Berger
  • Patent number: 8056256
    Abstract: A method for reconditioning Fire Control Radar APG-68 tactical radar systems (FCR) utilized in military aircraft and returning them to operation with extended useful life expectancies equivalent to or better than new of the FCR APG-68 unit high frequency, high voltage dual mode radar transmitters that are deployed in over 1000 state-of-the-art military aircraft such as the F-15, F-16 and F-18 fighter aircraft, and B-1 bombers. The novel method extends the mean lifetime of previously repaired and repairable FCR APG-68 tactical radar units and radar units and ageing transmitters from about 100 to a few hundred hours to about five hundred or more hours by the step of removing embedded moisture and absorbed moisture from the heterogeneous electronic components in the FCR APG-68 tactical radar unit.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: November 15, 2011
    Assignee: Slack Associates, Inc.
    Inventors: Howard C. Slack, Clare L. Milton
  • Publication number: 20110255950
    Abstract: A system and method for movably sealing a vapor deposition source is described. One embodiment includes a system for coating a substrate, the system comprising a deposition chamber; a vapor pocket located within the deposition chamber; and an at least one movable seal, wherein the at least one movable seal is configured to form a first seal with a first portion of a substrate, and wherein the first seal is configured to prevent a vapor from leaking past the first portion of the substrate out of the vapor pocket. In some embodiments, the movable seal may comprise a first flange, wherein the first flange forms a wall of the vapor pocket; and a second flange, wherein the second flange is configured to be movably disposed within a first groove of the source block.
    Type: Application
    Filed: April 16, 2010
    Publication date: October 20, 2011
    Inventors: Robert A. Enzenroth, Joseph D. LoBue, Lawrence J. Knipp
  • Patent number: 8033769
    Abstract: Provided are apparatuses and methods disclosed for wafer processing. Specific embodiments include dual wafer handling systems that transfer wafers from storage cassettes to processing modules and back and aspects thereof. Stacked independent loadlocks that allow venting and pumping operations to work in parallel and may be optimized for particle reduction are provided. Also provided are annular designs for radial top down flow during loadlock vent and pumpdown.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: October 11, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Chris Gage, Shawn Hamilton, Sheldon Templeton, Keith Wood, Damon Genetti
  • Patent number: 8033771
    Abstract: Apparatuses and methods for cooling and transferring wafers from low pressure environment to high pressure environment are provided. An apparatus may include a cooling pedestal and a set of supports for holding the wafer above the cooling pedestal. The average gap between the wafer and the cooling pedestal may be no greater than about 0.010 inches. Venting gases may be used to increase the pressure inside the apparatus during the transfer. In certain embodiment, venting gases comprise nitrogen.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: October 11, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Christopher Gage, Charles E. Pomeroy, David Cohen, Nagarajan Kalyanasundaram
  • Patent number: 8033772
    Abstract: A transfer chamber for a substrate processing tool includes a main body having side walls adapted to couple to at least one processing chamber and at least one load lock chamber. The main body houses at least a portion of a robot adapted to transport a substrate between the processing chamber and the load lock chamber. A lid couples to and seals a top of the main body of the transfer chamber. The transfer chamber also has a domed bottom adapted to couple to and to seal a bottom portion of the main body of the transfer chamber.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: October 11, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Emanuel Beer, Hung T. Nguyen, Wendell T. Blonigan
  • Publication number: 20110236176
    Abstract: The present invention discloses apparatuses and method for configuring a compartmentable equipment to accommodate emergency responses. An exemplary equipment comprises a plurality of removable compartments for storing workpieces so that in emergency events, such as power failure or equipment failure, the workpieces can be removed from the equipment for continuing processing without disrupting the flow of the fabrication facility. The compartmentable equipment can comprise emergency access ports, including mating interface to a portable workpiece removal equipment to allow accessing the individual compartments without compromising the quality, defects and yield of the workpieces stored in the stocker.
    Type: Application
    Filed: June 2, 2011
    Publication date: September 29, 2011
    Applicant: Dynamic Micro Systems, Semiconductor Equipment GmbH
    Inventor: Lutz Rebstock
  • Publication number: 20110236175
    Abstract: There are obtained a processing method that allows adherence of foreign particles to an object to be processed in a load lock chamber to be suppressed, and a fabrication method of a semiconductor device using the processing method. The processing method includes the step of receiving a substrate that is the object to be processed at a load lock chamber (substrate load lock chamber) to load the substrate into a processing chamber where processing is to be applied to the substrate, and reducing internal pressure from a substrate load lock chamber 3. In the step of reducing internal pressure, pressure is released at a relatively low decompression rate, and then at a relatively high decompression rate.
    Type: Application
    Filed: December 12, 2008
    Publication date: September 29, 2011
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Kaoru Shibata, Fumitake Nakanishi
  • Publication number: 20110236159
    Abstract: In various exemplary embodiments described herein, a system and related method to reduce particle contamination on substrates is disclosed. The system includes a substrate traverser mechanism having tracks to transport substrate carriers with one or more traverser ducts arranged to surround, at least partially, the tracks. The one or more ducts have slits along at least a substantial portion of a length of the tracks. A traverser exhaust fan is coupled to one end of each of the one or more traverser ducts. The fan provides sufficient volumetric airflow such that a velocity of the volumetric airflow through the slits is greater than a terminal settling velocity of a predetermined particle size. The fan draws particles less than approximately the predetermined particle size generated by the substrate traverser mechanism into the one or more traverser ducts.
    Type: Application
    Filed: March 24, 2010
    Publication date: September 29, 2011
    Applicant: Lam Research Corporation
    Inventor: Eric H. Lenz
  • Publication number: 20110217469
    Abstract: In accordance with some embodiments described herein, a method for transferring a substrate is provided. The method includes loading one or more substrates into a respective mobile chamber of one or more mobile chambers. The mobile chambers are movable on a first rail positioned adjacent to two or more process modules. Each mobile chamber is configured to maintain a specified gas condition. The respective mobile chamber is moved along the first rail. The respective mobile chamber is docked to a respective process module of the two or more process modules. At least one of the one or more substrates is conveyed from the respective mobile chamber to the respective process module.
    Type: Application
    Filed: December 20, 2010
    Publication date: September 8, 2011
    Inventors: Lawrence Chung-Lai Lei, Alfred Mak, Rex Liu, Kon Park, Samuel S. Pak, Tzy-Chung Terry Wu, Simon Zhu, Ronald L. Rose, Gene Shin, Xiaoming Wang
  • Patent number: 8007218
    Abstract: The present invention is related to a method for transferring substrates. The method comprise simultaneously transferring two substrates, by means of a transfer unit, between first support plates disposed to be vertically spaced apart from each other and second support plates arranged abreast in a lateral direction. The transfer unit comprises a top blade and a bottom blade converted to a folded state where they are vertically disposed to face each other and an unfolded state where they rotate at a preset angle in opposite directions. The transfer unit place/take a substrate on/out of the first support plates under the folded state and place/take a substrate on/out of the second support plates under the unfolded state.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: August 30, 2011
    Assignee: PSK Inc.
    Inventors: Dong-Seok Park, Sang-Ho Seol
  • Patent number: 7997851
    Abstract: A new apparatus for processing substrates is disclosed. A multi-level load lock chamber having four environmentally isolated chambers interfaces with a transfer chamber that has a robotic assembly. The robotic assembly has two arms that each can move horizontally as the robotic assembly rotates about its axis. The arms can reach into the isolated chambers of the load lock to receive substrates from the bottom isolated chambers, transport the substrates to process chambers, and then place the substrates in the upper chambers. The isolated chambers in the load lock chamber may have a pivotably attached lid that may be opened to access the inside of the isolated chambers.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: August 16, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Robert B. Moore, Eric Ruhland, Satish Sundar, Mario David Silvetti
  • Publication number: 20110194924
    Abstract: In a semiconductor manufacturing apparatus including a processing chamber, means for supplying gas to the processing chamber, evacuating means for decompressing the processing chamber, a transport chamber, means for supplying gas to the transport chamber, and evacuating means for decompressing the transport chamber, the pressure in the processing chamber is 10 to 50 Pa, the pressure in the transport chamber is set to positive pressure to the processing chamber, the differential pressure between the processing chamber and the transport chamber is 10 Pa or less, and the flow rate of the gas supplied to the processing chamber is twice or more the flow rate of gas supplied to the transport chamber.
    Type: Application
    Filed: April 20, 2011
    Publication date: August 11, 2011
    Inventors: Hiroyuki KOBAYASHI, Kenji MAEDA, Masaru IZAWA
  • Publication number: 20110178631
    Abstract: In a vacuum transfer chamber, a position detecting mechanism for detecting the positions of semiconductor wafers is arranged. The semiconductor wafers disposed at predetermined positions in a load lock chamber and vacuum processing chambers are transferred to the position detecting mechanism by a vacuum transfer mechanism and the positions of the wafers are detected. Then, based on the detection results, aligning between the load lock chamber and the vacuum processing chambers is performed.
    Type: Application
    Filed: April 4, 2011
    Publication date: July 21, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Keisuke KONDOH, Hiroshi Koizumi
  • Publication number: 20110151119
    Abstract: In accordance with some embodiments described herein, a method for transferring a substrate to two or more process modules is provided, comprising loading at least one substrate into one or more mobile transverse chambers, the mobile transverse chambers being carried on a rail positioned adjacent to the two or more process modules, and wherein each mobile transverse chamber is configured to maintain a specified gas condition during conveyance of the substrate. One or more drive systems are actuated to propel at least one of the one or more mobile transverse chambers along the rail. The at least one mobile transfer chamber docks to at least one of the process modules, and the substrate is conveyed from the mobile transverse chamber to the at least one process modules.
    Type: Application
    Filed: March 1, 2011
    Publication date: June 23, 2011
    Inventors: Lawrence Chung-Lai Lei, Alfred Mak, Rex Liu, Kon Park, Samuel S. Pak, Tzy-Chung Terry Wu, Simon Zhu, Ronald L. Rose, Gene Shin, Xiaoming Wang
  • Publication number: 20110142574
    Abstract: A method of transferring at least one object, sterilised and packed into a bag, into a clean room, where the bag is connected with an inner liner connecting the clean room with an outer room and on this is opened at an area open towards the inner liner so that a tube-like connection, closed towards the outer room, is formed between the interior of the bag and the inner liner, through which the at least one object is transferred into the clean room.
    Type: Application
    Filed: May 8, 2009
    Publication date: June 16, 2011
    Applicant: Lugaia STS Sterile Transfer Solutions AG
    Inventor: Viktor Schnyder
  • Patent number: 7959403
    Abstract: Methods and systems are provided for handling materials, including materials used in semiconductor manufacturing systems. The methods and systems include linear semiconductor processing facilities for vacuum-based semiconductor processing and handling, as well as linkable or extensible semiconductor processing facilities that can be flexibly configured to meet a variety of constraints.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: June 14, 2011
    Inventor: Peter van der Meulen
  • Publication number: 20110135427
    Abstract: A method, for transferring target objects in an apparatus wherein a processing chamber processes the n-number of target objects simultaneously (n being an integer not smaller than 2), and a transfer device holds at least the n+1 number of target objects, includes the steps of (a) unloading the n-number of unprocessed target objects from a load-lock chamber to a transfer chamber by using the transfer device, (b) unloading at least one of processed target objects from the processing chamber to the transfer chamber by the transfer device and (c) loading at least one of the unprocessed target objects held by the transfer device from the transfer chamber to the processing chamber. The steps (b) and (c) are repeated until all of the processed target objects in the processing chamber is exchanged with the unprocessed target objects held by the transfer device.
    Type: Application
    Filed: December 1, 2010
    Publication date: June 9, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hiromitsu SAKAUE
  • Publication number: 20110135426
    Abstract: Disclosed is a vacuum transfer apparatus, which can increase a transfer amount in a vertical direction of a transferred object and can reduce a volume required for placement of the vacuum transfer apparatus, whereby contributing to the size reduction of the vacuum transfer apparatus.
    Type: Application
    Filed: January 27, 2009
    Publication date: June 9, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Tamaya Shunsuke, Itou Wataru
  • Patent number: 7955041
    Abstract: Quick changeover apparatus for wafer handlers capable of handling at least two sizes of wafer frames and methods of using such apparatus are disclosed.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: June 7, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Sonny Marquez Sagun, Rhonel Morada Penamora, Alan Simon Sernadilla
  • Patent number: 7942622
    Abstract: In a vacuum transfer chamber 10, a position detecting mechanism 33 for detecting the positions of semiconductor wafers W is arranged. The semiconductor wafers W disposed at predetermined positions in a load lock chamber 17 and vacuum processing chambers 11 to 16 are transferred to the position detecting mechanism 33 by a vacuum transfer mechanism 30 and the positions of the wafers are detected. Then, based on the detection results, aligning between the load lock chamber 17 and the vacuum processing chambers 11 to 16 is performed.
    Type: Grant
    Filed: March 12, 2007
    Date of Patent: May 17, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Keisuke Kondoh, Hiroshi Koizumi
  • Publication number: 20110110751
    Abstract: A vacuum processing system of a semiconductor processing substrate and a vacuum processing method using the same comprises an atmospheric transfer chamber having a plurality of cassette stands for transferring a wafer, a lock chamber for storing the wafer transferred from the atmospheric transfer chamber, a first vacuum transfer chamber to which the wafer from the lock chamber is transferred, a transfer intermediate chamber connected to the first vacuum transfer chamber, a second vacuum transfer chamber connected to the transfer intermediate chamber, at least one vacuum processing chamber connected to the first vacuum transfer chamber, and two or more vacuum processing chambers connected to a rear side of the second vacuum transfer chamber, wherein the number of vacuum processing chambers connected to the first vacuum transfer chamber is smaller than the number of vacuum processing chambers connected to the second vacuum transfer chamber, or the number of use of vacuum processing chambers connected to the fir
    Type: Application
    Filed: August 30, 2010
    Publication date: May 12, 2011
    Inventors: Susumu TAUCHI, Hideaki Kondo, Teruo Nakata, Keita Nogi, Atsushi Shimoda, Takafumi Chida
  • Publication number: 20110076118
    Abstract: A method and apparatus for a transfer robot that having at least one image sensor disposed thereon is provided. The transfer robot includes a lift assembly having a first drive assembly for moving a first platform relative to a second platform in a first linear direction, an end effector assembly disposed on the second platform and movable in a second linear direction by a second drive assembly, the second linear direction being orthogonal to the first linear direction, at least one image sensor, and a lighting device associated with the at least one image sensor.
    Type: Application
    Filed: September 24, 2010
    Publication date: March 31, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Shinichi Kurita, Takayuki Matsumoto, Suhail Anwar, Makoto Inagawa