Deforming The Base Or Coating Or Removing A Portion Of The Coating Patents (Class 427/271)
  • Patent number: 11708495
    Abstract: A coating technique and a priming material are provided. In an exemplary embodiment, the coating technique includes receiving a substrate and identifying a material of the substrate upon which a layer is to be formed. A priming material is dispensed on the material of the substrate, and a film-forming material is applied to the priming material. The priming material includes a molecule containing a first group based on an attribute of the substrate material and a second group based on an attribute of the film-forming material. Suitable attributes of the substrate material and the film-forming material include water affinity and degree of polarity and the first and second groups may be selected to have a water affinity or degree of polarity that corresponds to that of the substrate material and the film-forming material, respectively.
    Type: Grant
    Filed: September 23, 2019
    Date of Patent: July 25, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Yu Liu, Ching-Yu Chang
  • Patent number: 11440241
    Abstract: Manufacture of a 3D object by a printing method that enables the use of several reactive materials sequentially. A laser-enhanced jetting-based 3D printer forms successive layers of reactive compositions on one another and the first and subsequent layers are allowed to crosslink between the printings to ultimately form the 3D object. Additional reactive compositions may be printed prior to the crosslinking. The crosslinking may be effected by heating, with or without a catalyst, and post printing curing may be employed after the 3D object is formed.
    Type: Grant
    Filed: May 18, 2020
    Date of Patent: September 13, 2022
    Assignee: IO Tech Group Ltd.
    Inventors: Michael Zenou, Guy Nesher
  • Patent number: 11424150
    Abstract: A semiconductor manufacturing apparatus member in which an insulating electrostatic chuck having a wafer placement surface and provided with a narrow hole and a conductive cooling plate provided with a gas supply hole are bonded together, the member includes a plug chamber composed of at least one of an electrostatic chuck side recess and a cooling plate side recess, a porous insulating air permeable plug disposed in the plug chamber, an annular dense layer provided on the surface of the air permeable plug so as to separate the surface of the air permeable plug into a narrow hole side surface and a gas supply hole side surface and an adhesive layer filled between the dense layer and the wall surface of the plug chamber.
    Type: Grant
    Filed: April 23, 2019
    Date of Patent: August 23, 2022
    Assignee: NGK Insulators, Ltd.
    Inventor: Hideaki Takasaki
  • Patent number: 11008546
    Abstract: The presently disclosed subject matter provides an approach to address the needs for microscale control in shaping the spacial geometry and microarchitecture of 3D collagen hydrogels. For example, the disclosed subject matter provides for compositions, methods, and systems employing N-sulfosuccinimidyl-6-(4?-azido-2?-nitro-phenylamino)hexanoate (“sulfo-SANPAH”), to prevent detachment of the hydrogel from the anchoring substrate due to cell-mediated contraction.
    Type: Grant
    Filed: July 27, 2016
    Date of Patent: May 18, 2021
    Assignee: THE TRUSTEES OF THE UNIVERSITY OF PENNSYLVANIA
    Inventors: Dongeun Huh, Mark Mondrinos, Cassidy Blundell, Jeongyun Seo
  • Patent number: 10604836
    Abstract: Methods for finishing a sputtering target to reduce particulation and to reduce burn-in time are disclosed. The surface of the unfinished sputtering target is blasted with beads to remove machining-induced defects. Additional post-processing steps include dust blowing-off, surface wiping, dry ice blasting, removing moisture using hot air gun, and annealing, resulting in a homogeneous, ultra-clean, residual-stress-free, hydrocarbon chemicals-free surface.
    Type: Grant
    Filed: May 13, 2016
    Date of Patent: March 31, 2020
    Assignee: MATERION CORPORATION
    Inventors: Longzhou Ma, Xingbo Yang, Dejan Stojakovic, Arthur V. Testanero, Matthew J. Komertz
  • Patent number: 10546904
    Abstract: Provided is a pixel defining layer for pixels formed by ink jet printing, an array substrate and a display apparatus. The pixel defining layer comprises a first pixel defining sub-layer, which defines a plurality of uniformly distributed pixels; and a second pixel defining sub-layer on the first pixel defining sub-layer, wherein the second pixel defining sub-layer and the first pixel defining sub-layer together define a plurality of ink-holding spaces for the plurality of uniformly distributed pixels respectively, and wherein a width of the first pixel defining sub-layer is not less than a width of the second pixel defining sub-layer thereon, wherein the pixel defining layer is divided into an active area zone and an dummy zone outside the active area zone, and wherein an ink-holding space of a pixel in the dummy zone is larger than an ink-holding space of a pixel in the active area zone.
    Type: Grant
    Filed: May 29, 2018
    Date of Patent: January 28, 2020
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Wenjun Hou
  • Patent number: 10166571
    Abstract: Provided is a manufacturing method for a substrate having a microstructure. The manufacturing method for a substrate having a microstructure comprises the steps of: forming a microstructure on the upper surface of an auxiliary substrate; coating a base solution on the microstructure; forming a base substrate covering the microstructure by heat treating the base solution; and removing the auxiliary substrate from the base substrate.
    Type: Grant
    Filed: December 8, 2014
    Date of Patent: January 1, 2019
    Assignee: LG Display Co., Ltd.
    Inventors: Taewhan Kim, Dongchul Choo, Jungyu Lee
  • Patent number: 10128321
    Abstract: The present disclosure provides a pixel isolation wall and its manufacturing method. The pixel isolation wall includes an oleophilic layer arranged on a substrate on which a TFT array and a pixel electrode array is formed, and an oleophobic layer arranged on the oleophilic layer and configured to define, together with the oleophilic layer, a plurality of recess regions corresponding to the pixel electrode array.
    Type: Grant
    Filed: March 1, 2016
    Date of Patent: November 13, 2018
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Wusheng Li, Shi Shu, Xiaolong He
  • Patent number: 9983260
    Abstract: A dual-phase interferometric method and device for charge modulation mapping in integrated circuits provides significant improvement in signal to noise ratio over conventional detection configurations. The method and device can be used for failure analysis and testing of advanced technology IC chips for which high sensitivity in modulation mapping is required.
    Type: Grant
    Filed: October 11, 2013
    Date of Patent: May 29, 2018
    Assignee: THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE
    Inventors: Abdulkadir Yurt, Selim M. Unlu, Bennett B. Goldberg, Euan Ramsay
  • Patent number: 9925428
    Abstract: A ball striking device, such as a golf club head, has a face member with a striking surface configured for striking a ball and a flange that comprises a portion of the crown. The flange being made of at least two members that are made of different materials, where a second material has a lower modulus of elasticity than the first material. The second member has a length, a width, a thickness and a location proximate to the ball striking surface to improve the impact efficiency of a collision with a golf ball.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: March 27, 2018
    Assignee: Karsten Manufacturing Corporation
    Inventor: Hiromitsu Akiyama
  • Patent number: 9772554
    Abstract: Disclosed herein is a pattern forming method comprising providing a substrate devoid of a layer of a brush polymer; disposing upon the substrate a composition comprising a block copolymer comprising a first polymer and a second polymer; where the first polymer and the second polymer of the block copolymer are different from each other; and an additive polymer where the additive polymer comprises a bottlebrush polymer; where the bottlebrush polymer comprises a polymeric chain backbone and a grafted polymer that are bonded to each other; and where the bottlebrush polymer comprises a polymer that is chemically and structurally the same as one of the polymers in the block copolymer or where the bottlebrush polymer comprises a polymer that has a preferential interaction with one of the blocks of the block copolymers; and a solvent; and annealing the composition to facilitate domain separation between the first polymer and the second polymer.
    Type: Grant
    Filed: February 25, 2016
    Date of Patent: September 26, 2017
    Assignees: DOW GLOBAL TECHNOLOGIES LLC, ROHM AND HAAS ELECTRONIC MATERIALS LLC, THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Phillip D. Hustad, Peter Trefonas, III, Valeriy V. Ginzburg, Bongkeun Kim, Glenn H. Fredrickson
  • Patent number: 9630068
    Abstract: A golf club head includes a golf club body, the golf club body including a toe portion and a heel portion, the golf club body including a hosel connected to the heel portion, the golf club body defining an external surface area, thereby each portion of the golf club body including a contributing external surface area to the total external surface area of the golf club body; a face connected to a front of the golf club body; a surface covering applied to at least 50% of the sole.
    Type: Grant
    Filed: July 20, 2015
    Date of Patent: April 25, 2017
    Assignee: TAYLOR MADE GOLF COMPANY, INC.
    Inventors: Todd P. Beach, Michael Robert Peters, Justin David Kleinert, John Bernard Krzywiec
  • Patent number: 9595754
    Abstract: A method for forming a patterned conductive structure is provided. The method includes forming a soluble layer on a surface of a substrate, wherein the soluble layer has an opening exposing a rough portion of the surface. A first conductive layer is formed on the soluble layer, wherein the first conductive layer extends onto the rough portion in the opening. The soluble layer and the first conductive layer on the soluble layer are removed, wherein a portion of the first conductive layer corresponding to the rough portion is remained on the substrate. A patterned conductive structure formed by the method is also provided.
    Type: Grant
    Filed: December 26, 2014
    Date of Patent: March 14, 2017
    Assignee: Wistron NeWeb Corp.
    Inventors: Babak Radi, Yong-Jyun Lu, Ming-Chi Chiu, Chien-Min Hsu, Shih-Hong Chen
  • Patent number: 9226411
    Abstract: A method of making a multi-layer micro-wire structure includes providing a substrate having a surface and forming a plurality of micro-channels in the surface. A first material composition is located in a first layer only in each micro-channel and not on the surface. A second material composition different from the first material composition is located in a second layer different from the first layer only in each micro-channel and not on the surface. The first material composition in the first layer and the second material composition in the second layer form an electrically conductive multi-layer micro-wire in each micro-channel.
    Type: Grant
    Filed: July 9, 2014
    Date of Patent: December 29, 2015
    Assignee: EASTMAN KODAK COMPANY
    Inventors: Hwei-Ling Yau, David Paul Trauernicht, John Andrew Lebens, Yongcai Wang, Ronald Steven Cok
  • Patent number: 9150747
    Abstract: Erasable paintings used to paint a design on the at least one paintable surface of the footwear. The erasable paintings are insoluble in water and thus can withstand any weather conditions. The present invention also provides an erasing solution for completely erasing the erasable from the paintable surface. The present invention also provides an article of footwear having at least one paintable surface and at least one erasable painting. The article of footwear may also include an erasing solvent to erase the at least one erasable painting. The article of footwear may also include a spray and/or liquid to make the painting permanent and/or a painting instrument. The article of footwear may also include various accessories.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: October 6, 2015
    Assignee: MY DESIGN LIMITED
    Inventor: Ran Schilo
  • Publication number: 20150147539
    Abstract: An aesthetically pleasing elastic film with three-dimensional characteristics is provided. In one embodiment, a two-dimensional ink structure is printed onto an elastic film, which is then activated, for example, by heat, which transforms the two-dimensional ink structure into a three-dimensional ink structure on one or more outer surfaces of the film. The location and amount of ink applied can be selectively controlled so that the film has the desired hand feel without sacrificing the elastic properties of the film. Further the printing and activating of the ink can be controlled to increase the tear resistance of the film or to conversely allow the film to tear or rip at desired locations on demand. In another embodiment, the elastic film can be laminated to a facing to form a laminate, where the outer surface of the film that is not in contact with the facing contains the three-dimensional ink structures.
    Type: Application
    Filed: November 27, 2013
    Publication date: May 28, 2015
    Applicant: Kimberly-Clark Worldwide, Inc.
    Inventors: Oomman P. Thomas, Simon K. Poruthoor, Charles Morell
  • Publication number: 20150140282
    Abstract: A process for providing indicia and an elastic-like behavior to a web substrate is disclosed. The process comprises the steps of: providing a web substrate; printing indicia on the web substrate; and, providing the web substrate with a plurality of first regions and a plurality of second regions comprising the same material composition. A portion of the first regions extend in a first direction while the remainder of the first regions extend in a second direction perpendicular to the first direction to intersect one another. The first regions form a boundary completely surrounding the second regions. The second regions comprise a plurality of raised rib-like elements. The first regions undergo a molecular level and geometric deformation and the second regions initially undergo a substantially geometric deformation when the web material is subjected to an applied elongation along at least one axis.
    Type: Application
    Filed: January 27, 2015
    Publication date: May 21, 2015
    Inventors: Laura Lynn Heilman, Jill Marlene Orr
  • Publication number: 20150119238
    Abstract: A method for marking an item including a shape memory polymer (SMP) having a visual readable and/or machine readable graphic element on the surface of the item. The method includes pretreating the surface of the item; colouring the surface of the item with a dye solution containing an organic dye and an organic solvent; cleaning and drying the surface of the item; and engraving by at least partially ablating the surface of the item. The dyeing process is suitable for colouring the surface of a shape memory polymer (SMP), wherein the information is engraved in a form of a code or other label on the coloured surface. The colouring causes an increased contrast and thus facilitates machine readability of marking and coding without affecting the other properties of the SMP.
    Type: Application
    Filed: October 26, 2012
    Publication date: April 30, 2015
    Inventors: Thorsten Pretsch, Melanie Ecker, Markus Schildhauer
  • Patent number: 9005709
    Abstract: A method for fabricating a substrate for transfer printing using a concave-convex structure and a substrate for transfer printing fabricated thereby. The method includes preparing a handling substrate having a concave-convex structure formed thereon; forming a sacrificial layer along the concave-convex structure on the handling substrate; coating a polymer on the handling substrate having the sacrificial layer formed thereon to form a polymer substrate having bumps filling a concave portion of the concave-convex structure; and removing the sacrificial layer from the handling substrate. The substrate includes a handling substrate having a concave-convex structure formed thereon; and a polymer substrate placed on the concave-convex structure and having bumps filling a concave portion of the concave-convex structure of the handling substrate.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: April 14, 2015
    Assignee: Gwangju Institute of Science and Technology
    Inventors: Heung Cho Ko, Seok Ho Kim, Jongwon Yoon, Young Kyu Hwang, Su Ok Yun, Hun Soo Jang, Seong-Ju Park, Hyun-A Cho, Byeong-Il Noh, Jaeyi Chun
  • Publication number: 20150093508
    Abstract: A composition for pattern formation includes a block copolymer that includes a block represented by formula (I) and a block represented by formula (II). R1 and R3 each independently represent a hydrogen atom, a methyl group, a fluorine atom or a trifluoromethyl group. R2 represents a monovalent organic group. R4 represents a hydrocarbon group having a valency of (1+b) and having 1 to 5 carbon atoms. R5 represents a monovalent group having a hetero atom. m and n are each independently an integer of 10 to 5,000. a is an integer of 0 to 5. b is an integer of 1 to 3.
    Type: Application
    Filed: December 11, 2014
    Publication date: April 2, 2015
    Applicant: JSR CORPORATION
    Inventors: Tomoki NAGAI, Shinya Minegishi, Takuo Sone, Yuji Namie
  • Publication number: 20150079286
    Abstract: Disclosed herein are methods of treating an article surface. The method comprises removing a metal oxide surface from the metal substrate to expose a metal surface; and delivering particles comprising a dopant from at least one fluid jet to the metal surface to impregnate the surface of the article with the dopant. The method also comprises delivering substantially simultaneously a first set of particles comprising a dopant and a second set of particles comprising an abrasive from at least one fluid jet to a surface of an article to impregnate the surface of the article with the dopant.
    Type: Application
    Filed: October 17, 2014
    Publication date: March 19, 2015
    Inventors: John Gerard O'Donoghue, Donncha Haverty
  • Patent number: 8980373
    Abstract: A semi cured supported elastomeric glove with enhanced gripping surfaces achieved by the method of transferring of patterns by compression molding, including a plurality of concave indentations of any pattern and molded into the gripping surfaces of the semi cured glove.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: March 17, 2015
    Assignee: Dipped Products PLC
    Inventors: Lakshman Premal Nethsinghe, Rajapakshe Mudiyanselage Tilokraj Premarathna, Kariyawasam Ampegama Gamage Gamini Kularatne, Raja Nihal Kurunduwa Hewage
  • Patent number: 8968856
    Abstract: The present invention relates to a security element (20) for security papers, value documents and the like having a diffraction pattern that exhibits an embossed relief pattern (24, 26) and a coating layer (28, 32) that increases the visibility of the diffraction effect of the embossed relief pattern (24, 26). According to the present invention, the relief pattern (24, 26) is formed on the basis of a cholesteric liquid crystalline material (24) and the coating layer (28, 32) includes a reflective (28) and/or a high-index layer (32).
    Type: Grant
    Filed: March 19, 2007
    Date of Patent: March 3, 2015
    Assignee: Giesecke & Devrient GmbH
    Inventors: Winfried Hoffmüller, Theodor Burchard
  • Patent number: 8962081
    Abstract: According to one embodiment, there is provided a template forming method that transfers a pattern from a first template to a to-be-processed substrate and subjects the to-be-processed substrate to a processing process to form a second template by using an imprinting method, includes forming a first resist film on a pattern forming region on the to-be-processed substrate, selectively forming a second resist film on a mark forming region on the to-be-processed substrate, transferring a concavo-convex pattern formed on the first template to the first resist film, and processing the to-be-processed substrate with the first resist film to which the concavo-convex pattern is transferred and the second resist film used as a mask.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: February 24, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masato Saito
  • Publication number: 20150036955
    Abstract: A thrust bearing is equipped with a resin coating applied to the surface of a base material. Lattice-shaped discharge grooves are formed in the surface of the thrust bearing, and planer protrusions having a square shape or the like are formed at the adjacent positions thereof. Each corner part of each planer protrusion form a sliding surface that slides with respect to a counterpart member. Contaminants such as wear particles that have infiltrated between the counterpart member and the sliding surface fail into the discharge grooves and then are discharged outside of the sliding surface. The chamfering of each corner part to a rounded shape prevents the contaminants from accumulating in the discharge grooves, thus preventing the contaminants from biting into the planer protrusions.
    Type: Application
    Filed: November 16, 2012
    Publication date: February 5, 2015
    Applicant: TAIHO KOGYO CO., LTD.
    Inventors: Satoshi Nomura, Hiroshi Kanemitsu, Masaharu Hatta, Takao Masamura
  • Patent number: 8920876
    Abstract: A method for producing a building panel. The method includes forming a mix including wood particles, a solvent, a binder and optionally at least one additive, wherein the binder and optionally said at least one additive are homogenously distributed in the mix by means of the solvent and wherein the binder impregnates the wood particles, drying the mix, applying the mix on a substrate, and forming a layer by applying heat and pressure to the mix.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: December 30, 2014
    Assignee: Valinge Innovation AB
    Inventors: Georg Vetter, Jan Jacobsson, Rickard Rittinge, Hans Persson
  • Publication number: 20140370195
    Abstract: According to one embodiment, a method of forming a pattern includes applying a polymer material having a first segment and a second segment in openings formed in a guide, heating the polymer material to achieve microphase separation of the polymer material to form a self-assembled pattern which includes a first polymer portion having a cylindrical shape which includes the first segment, and a second polymer portion including the second segment and surrounding a lateral portion of the first polymer portion, and selectively removing the first polymer portion. A molecular weight ratio of the first segment to the second segment in the polymer material is approximately 4:6.
    Type: Application
    Filed: February 14, 2014
    Publication date: December 18, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hironobu SATO
  • Patent number: 8906458
    Abstract: Sub-micron-structured (nanostructured) polymer film or coatings are made by coating a substrate with a mixture of materials. One of the materials is removed using a selective solvent, leaving pores or other nanostructure. The substrate may be grooved, providing a competing nanostructure. The coating may act as an antireflective coating, optical retarder, optical diffuser, or orientation layer.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: December 9, 2014
    Assignee: Rolic AG
    Inventors: Hubert Seiberle, Martin Schadt, Mohammed Ibn-Elhaj, Carsten Benecke, Klaus Schmitt
  • Publication number: 20140342030
    Abstract: Provided is a mold for imprint having a leveling agent layer in which a desired uneven pattern is formed on an outermost surface by embedding unevenness on a main surface of a base body by coting this portion with a leveling agent.
    Type: Application
    Filed: September 13, 2012
    Publication date: November 20, 2014
    Applicant: HOYA CORPORATION
    Inventor: Sakae Nakatsuka
  • Patent number: 8889229
    Abstract: The present invention provides a method for formation of a siliceous film containing nitrogen in a low concentration. The method according to the present invention comprises the steps of: applying a polysilazane composition on an engraved substrate surface, to form a coating layer; hardening the coating layer only in the part adjacent to the substrate surface, to form a covering film along the shape of the engraved substrate; and removing the polysilazane composition of the coating layer in the part not hardened in the above covering film-formation step. According to this method, two or more siliceous films can be formed and layered.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: November 18, 2014
    Assignee: AA Electronics Materials USA Corp.
    Inventors: Tatsuro Nagahara, Masanobu Hayashi
  • Publication number: 20140329056
    Abstract: A method for preparing a pixel defining layer (PDL), an organic light-emitting diode (OLED) substrate prepared by the PDL, and a display device are provided, which can overcome the problem that the conventional PDL comprising hydrophilic and hydrophobic materials involves a complicated process. The method for preparing the PDL comprises: step 1: forming a mixed solution on a substrate to form a mixed solution film, in which the mixed solution includes a hydrophilic material, a hydrophobic material and a solvent; step 2: performing heat treatment on the mixed solution film to form a pixel defining material layer in which the content of the hydrophilic material on the upper portion is less than that of the hydrophilic material on the lower portion and the content of the hydrophobic material on the upper portion is more than that of the hydrophobic material on the lower portion; and step 3: forming a pattern of the PDL on the pixel defining material layer by a patterning process.
    Type: Application
    Filed: May 31, 2013
    Publication date: November 6, 2014
    Inventors: Wenjun Hou, Ze Liu
  • Publication number: 20140322453
    Abstract: Disclosed is a method for producing ionomer-coated, catalyst-supporting carbon nanotubes, the method comprising: a step of preparing catalyst-supporting carbon nanotubes on at least one surface of a substrate; a step of preparing a first ionomer solution; an ionomer coating step of bringing the catalyst-supporting carbon nanotubes into contact with the first ionomer solution and coating the catalyst-supporting carbon nanotubes with the ionomer; and a drying step of drying the ionomer-coated, catalyst-supporting carbon nanotubes, wherein the method comprises an ionomer removal step in which, when the ionomer that is unevenly attached in a thickness direction of a layer comprising the ionomer-coated, catalyst-supporting carbon nanotubes, the ionomer that is relatively largely found in and attached to the tip end of the carbon nanotubes rather than other parts thereof, is removed after the ionomer coating step and before the drying step.
    Type: Application
    Filed: October 31, 2012
    Publication date: October 30, 2014
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventor: Ryoichi Namba
  • Patent number: 8846149
    Abstract: A method and structure for preventing film delamination provide for forming a thick film then partitioning the thick film into a plurality of discrete portions prior to subsequent thermal processing operations. The partitioning alleviates the effects of film stress at the interface between the film and the underlying material and prevents delamination during the subsequent thermal cycling operations, that take place subsequent to the formation of the film. The partitioned film includes a pattern density of at least about 80 percent and the discrete portions do not individually serve as device structures.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yuh-Hwa Chang, Ming-Tai Chung, Jui-Chun Weng, Ming-Yi Lin
  • Patent number: 8846195
    Abstract: An imprint lithography imprinting stack includes a substrate and a polymeric adhesion layer adhered to the substrate. The polymeric adhesion layer includes polymeric components with an extended backbone length of at least about 2 nm. The backbones of the polymeric components may be substantially aligned in a planar configuration on the surface of the substrate, such that a thickness of the polymeric adhesion layer is less than about 2 nm.
    Type: Grant
    Filed: December 2, 2008
    Date of Patent: September 30, 2014
    Assignees: Canon Nanotechnologies, Inc., Molecular Imprints, Inc.
    Inventors: Frank Y. Xu, Edward Brian Fletcher
  • Publication number: 20140283983
    Abstract: The present invention is directed to compositions useful for maintaining sealing force over time while under compression in compressive gaskets. Additionally, methods of preparing and using the sealing compositions and improving sealing performance using such compositions are provided.
    Type: Application
    Filed: March 19, 2014
    Publication date: September 25, 2014
    Applicant: HENKEL US IP LLC
    Inventors: Joel D. Schall, John G. Woods, Anthony F. Jacobine, Alfred A. DeCato, Eric Hernandez Edo
  • Patent number: 8834956
    Abstract: Some embodiments include methods of forming patterns utilizing copolymer. A main body of copolymer may be formed across a substrate, and self-assembly of the copolymer may be induced to form a pattern of structures across the substrate. A uniform thickness throughout the main body of the copolymer may be maintained during the inducement of the self-assembly. In some embodiments, the uniform thickness may be maintained through utilization of a wall surrounding the main body of copolymer to impede dispersal of the copolymer from the main body. In some embodiments, the uniform thickness may be maintained through utilization of a volume of copolymer in fluid communication with the main body of copolymer.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: September 16, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Dan Millward
  • Publication number: 20140255656
    Abstract: A sliding member having a base and a coating on the surface of the base, the coating is formed of a mixed resin obtained by mixing a thermosetting resin and a thermoplastic resin. The mixed resin is a mixture of a thermosetting resin that is in a liquid state before mixing and a thermoplastic resin that is in the form of solid particles. By applying and heat-treating the mixed resin that is in a liquid state, a coating film formed on the surface of the base is a resin coating layer and dimples in the resin surface.
    Type: Application
    Filed: October 3, 2012
    Publication date: September 11, 2014
    Inventors: Nobuyuki Suzuki, Akio Hikasa
  • Publication number: 20140255661
    Abstract: Methods of producing patterned articles using a composition that includes a non-volatile component in a volatile liquid carrier, where the liquid carrier is in the form of an emulsion comprising a continuous phase and a second phase in the form of domains dispersed in the continuous phase.
    Type: Application
    Filed: June 7, 2012
    Publication date: September 11, 2014
    Inventors: Joseph Masrud, Lorenzo Mangolini, Eric L. Granstrom, Arkady Garbar, Dmitry Lekhtman, Dov Zamir
  • Patent number: 8828503
    Abstract: A method of making a multi-layer micro-wire structure includes providing a substrate having a surface and forming a plurality of micro-channels in the surface. A first material composition is located in a first layer only in each micro-channel and not on the surface. A second material composition different from the first material composition is located in a second layer different from the first layer only in each micro-channel and not on the surface. The first material composition in the first layer and the second material composition in the second layer form an electrically conductive multi-layer micro-wire in each micro-channel.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: September 9, 2014
    Assignee: Eastman Kodak Company
    Inventors: Hwei-Ling Yau, David Paul Trauernicht, John Andrew Lebens, Yongcai Wang, Ronald Steven Cok
  • Patent number: 8828493
    Abstract: Methods are disclosed for forming a layered structure comprising a self-assembled material. An initial patterned photoresist layer is treated photochemically, thermally, and/or chemically to form a treated patterned photoresist layer comprising a non-crosslinked treated photoresist. The treated photoresist is insoluble in an organic solvent suitable for casting a material capable of self-assembly. A solution comprising the material capable of self-assembly dissolved in the organic solvent is casted on the treated layer, and the organic solvent is removed. The casted material is allowed to self-assemble with optional heating and/or annealing, thereby forming the layered structure comprising the self-assembled material. The treated photoresist can be removed using an aqueous base and/or a second organic solvent.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Charles Thomas Rettner, Daniel Paul Sanders
  • Patent number: 8821965
    Abstract: The invention notably concerns a method for depositing nano-objects on a surface. The method includes: providing a substrate with surface patterns on one face thereof; providing a transfer layer on said face of the substrate; functionalizing areas on a surface of the transfer layer parallel to said face of the substrate, at locations defined with respect to said surface patterns, such as to exhibit enhanced binding interactions with nano-objects; depositing nano-objects and letting them get captured at the functionalized areas; and thinning down the transfer layer by energetic stimulation to decompose the polymer into evaporating units, until the nano-objects reach the surface of the substrate.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Urs T. Duerig, Felix Holzner, Cyrill Kuemin, Armin W. Knoll, Philip Paul, Heiko Wolf
  • Patent number: 8821978
    Abstract: A method of forming a layered structure comprising a domain pattern of a self-assembled material utilizes a negative-tone patterned photoresist layer comprising non-crosslinked developed photoresist. The developed photoresist is not soluble in an organic casting solvent for a material capable of self-assembly. The developed photoresist is soluble in an aqueous alkaline developer and/or a second organic solvent. A solution comprising the material capable of self-assembly and the organic casting solvent is casted on the patterned photoresist layer. Upon removal of the organic casting solvent, the material self-assembles, thereby forming the layered structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Young-Hye Na, Daniel Paul Sanders, Linda Karin Sundberg, Hoa D. Truong, Gregory Michael Wallraff, Atsuko Ito
  • Publication number: 20140243224
    Abstract: Provided is an array including a solid support having a surface, the surface having a plurality of wells, the wells containing a gel material, the wells being separated from each other by interstitial regions on the surface, the interstitial regions segregating the gel material in each of the wells from the gel material in other wells of the plurality; and a library of target nucleic acids in the gel material, wherein the gel material in each of the wells comprises a single species of the target nucleic acids of the library. Methods for making and using the array are also provided.
    Type: Application
    Filed: March 6, 2013
    Publication date: August 28, 2014
    Applicant: ILLUMINA, INC.
    Inventors: Steven M. Barnard, M. Shane Bowen, Maria Candelaria Rogert Bacigalupo, Wayne N. George, Andrew A. Brown, James Tsay
  • Patent number: 8815345
    Abstract: A method of fabricating a three dimensional model from a composite model formed by a plurality of layers. The composite model comprises at least one shell material encasing and enclosing a build material. The method comprises the steps of (1) depositing a build layer of the build material onto a preceding layer, (2) removing a selected area of the build material from the deposited build layer; (3) depositing the shell material in any removed selected area of the build material; and (4) reducing the build layer to a desired final thickness for supporting a next build layer. Then repeatedly repeating steps (1) through (4) for each build layer until the composite model is completed. Finally, the undesired extraneous material, and possibly the shell, are removed to obtain the final model.
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: August 26, 2014
    Assignee: Solidscape, Inc.
    Inventors: Michael Varanka, John Wigand
  • Patent number: 8808800
    Abstract: Electrochemical fabrication processes and apparatus for producing single layer or multi-layer structures where each layer includes the deposition of at least two materials and wherein the formation of at least some layers includes operations for reducing stress and/or curvature distortion when the structure is released from a sacrificial material which surrounded it during formation and possibly when released from a substrate on which it was formed. Six primary groups of embodiments are presented which are divide into eleven primary embodiments. Some embodiments attempt to remove stress to minimize distortion while others attempt to balance stress to minimize distortion.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: August 19, 2014
    Assignee: Microfabrica Inc.
    Inventors: Ananda H. Kumar, Jorge Sotelo Albarran, Adam L. Cohen, Kieun Kim, Michael S. Lockard, Uri Frodis, Dennis R. Smalley
  • Patent number: 8809236
    Abstract: A method for manufacturing a high temperature superconductor (=HTS) coated tape (20), with the following steps: preparation of a substrate tape (1), deposition of at least one buffer layer (2), deposition of an HTS film (3), deposition of a metallic protection layer (35) on the HTS film (3) and deposition of a metallic shunt layer (36) is characterized in that, prior to deposition of the metallic shunt layer (36), the partially prepared coated tape (10) undergoes a laser beam cutting in order to provide a desired tape form, wherein the laser beam cutting is applied together with a gas flow and/or a liquid flow (23). The method reduces the loss of critical current and reduces or avoids a deterioration of the critical temperature in a HTS coated tape due to tape cutting.
    Type: Grant
    Filed: February 15, 2012
    Date of Patent: August 19, 2014
    Assignees: Oswald Elektromotoren GmbH, Bruker HTS GmbH
    Inventors: Johannes Oswald, Bernhard Oswald, Thomas Reis, Alexander Rutt, Alexander Usoskin
  • Patent number: 8802183
    Abstract: The system of the present invention includes a conductive element, an electronic component, and a partial power source in the form of dissimilar materials. Upon contact with a conducting fluid, a voltage potential is created and the power source is completed, which activates the system. The electronic component controls the conductance between the dissimilar materials to produce a unique current signature. The system can also measure the conditions of the environment surrounding the system.
    Type: Grant
    Filed: July 11, 2011
    Date of Patent: August 12, 2014
    Assignee: Proteus Digital Health, Inc.
    Inventors: Jeremy Frank, Peter Bjeletich, Hooman Hafezi, Robert Azevedo, Robert Duck, Iliya Pesic, Benedict Costello, Eric Snyder
  • Publication number: 20140220318
    Abstract: A method to form a protective overlay with wear resistant particles applied in well-defined patterns by applying wear resistant particles on a surface, bonding a part of the wear resistant particles with a binder preferably applied with a digital drop application head and removing the non-bonded wear resistant particles from the surface.
    Type: Application
    Filed: January 14, 2014
    Publication date: August 7, 2014
    Applicant: FLOOR IPTECH AB
    Inventor: Darko PERVAN
  • Patent number: 8795774
    Abstract: Compositions containing certain organometallic oligomers suitable for use as spin-on, metal hardmasks are provided, where such compositions can be tailored to provide a metal oxide hardmask having a range of etch selectivity. Also provided are methods of depositing metal oxide hardmasks using the present compositions.
    Type: Grant
    Filed: September 23, 2012
    Date of Patent: August 5, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Deyan Wang, Jibin Sun, Peng-Wei Chuang, Peter Trefonas, III, Cong Liu
  • Patent number: 8771792
    Abstract: Provided is a method for manufacturing a liquid discharge head, the liquid discharge head includes a substrate provided on a surface with a first energy generating part and a second energy generating part for generating energy utilized for discharging a liquid; a first discharge port provided corresponding to the first energy generating part so as to face the surface; a second discharge port provided corresponding to the second energy generating part so as to face the surface; a first wall member which has a wall of a first liquid flow path which communicates with the first discharge port; and a second wall member which has a wall of a second liquid flow path which communicates the second discharge port, wherein a distance between the second energy generating part and the second discharge port is greater than a distance between the first energy generating part and the first discharge port.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: July 8, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kazuhiro Asai, Yoshinori Tagawa, Satoshi Ibe, Hiroyuki Murayama, Kouji Sasaki, Keiji Edamatsu