Structurally Defined Patents (Class 430/11)
  • Patent number: 11554435
    Abstract: The present invention discloses a solder paste laser induced forward transfer device and method. The device comprises a laser, a beam shaping module, an optical path adjustment module, a solder paste transfer module and a computer control system, wherein the laser is connected to the beam shaping module, followed by the optical path adjustment module, and the solder paste transfer module is located below the optical path adjustment module. The beam shaping module comprises a beam expanding lens, an aperture, a flat-top beam shaper and a spatial light modulator. The optical path adjustment module comprises a two-dimensional galvanometer and an f-? lens. The solder paste transfer module consists of a transparent substrate, a solder paste film, a clamp, a Z-axis lifting table, a receiving substrate, and an XYZ precise moving platform. The computer control system consists of a computer and drivers of other devices.
    Type: Grant
    Filed: January 3, 2020
    Date of Patent: January 17, 2023
    Assignee: SOUTH CHINA UNIVERSITY OF TECHNOLOGY
    Inventors: Xianmin Zhang, Yilin Shan, Kai Li, Chuangang Tang
  • Patent number: 11429018
    Abstract: In a method of manufacturing a chemical fluid for manufacturing an electronic material, a method of reducing particulate metal in the chemical fluid is selected according to a concentration of particulate metal including an iron atom, a concentration of particulate metal including a copper atom, and a concentration of particulate metal including a zinc atom which are measured by SP ICP-MS in the chemical fluid, and at least one of the concentration of particulate metal including an iron atom, the concentration of particulate metal including a copper atom, or the concentration of particulate metal including a zinc atom is reduced by using the selected reducing method.
    Type: Grant
    Filed: September 13, 2018
    Date of Patent: August 30, 2022
    Assignee: FUJIFILM Corporation
    Inventors: Tetsuya Shimizu, Tsukasa Yamanaka, Yukihisa Kawada
  • Patent number: 11427656
    Abstract: To provide a photosensitive composition for hologram recording that enables further improvement in diffraction characteristic. A photosensitive composition for hologram recording that includes at least two kinds of photopolymerizable monomers, a photopolymerization initiator, a binder resin, and a polymerization inhibitor. The at least two kinds of photopolymerizable monomers are a monofunctional monomer and a polyfunctional monomer.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: August 30, 2022
    Assignee: SONY CORPORATION
    Inventors: Hisaya Hara, Eri Igarashi, Kenshiro Kawasaki
  • Patent number: 11369746
    Abstract: A drug delivery device is provided comprising a housing having an exterior surface, drug ex-pelling means comprising an indicator member arranged to move corresponding to an action performed on or by the drug delivery device, and a carrier foil on which is formed or mounted an energy source, electronically controlled communication means, and a processor adapted to (i) receive input from the drug delivery device indicative of indicator member movement and (ii) control the communication means. The flexible carrier foil is mounted on the exterior of the housing, and the flexible carrier foil is covered at least in part by a sealing foil covering directly or indirectly the thereon formed or mounted components, whereby a sealed interior space for the components formed or mounted on the flexible carrier foil is formed between the housing exterior surface and the sealing foil.
    Type: Grant
    Filed: May 4, 2018
    Date of Patent: June 28, 2022
    Assignee: Novo Nordisk A/S
    Inventor: Andre Larsen
  • Patent number: 11309503
    Abstract: A transistor manufacturing method includes forming a source electrode and a drain electrode on a substrate, forming a layer including an insulator layer to cover the source electrode and the drain electrode, and forming a gate electrode on the layer including the insulator layer, wherein the forming the gate electrode includes forming a plating base film, forming a protection layer of the plating base film, forming a photoresist layer on the protection layer to expose the photoresist layer with desired patterning light, causing the exposed photoresist layer to come into contact with a developer to remove the photoresist layer and the protection layer until the plating base film is uncovered corresponding to the patterning light, and after depositing a metal on the uncovered plating base film, causing an electroless plating solution to come into contact with the plating base film to perform electroless plating.
    Type: Grant
    Filed: April 4, 2019
    Date of Patent: April 19, 2022
    Assignee: NIKON CORPORATION
    Inventors: Shohei Koizumi, Takashi Sugizaki, Yusuke Kawakami
  • Patent number: 10344114
    Abstract: An additive manufacturing method. The method includes mixing a reflective material including fibers with a photopolymer resin to form a resin mixture having a loading of the reflective material of at least 3 wt. %. The method further includes curing the resin mixture using a light source to form first and second individually cured layers and a bridge of a portion of the fibers between the two layers.
    Type: Grant
    Filed: January 18, 2018
    Date of Patent: July 9, 2019
    Assignee: Ford Global Technologies, LLC
    Inventors: Amy Ellen Langhorst, Ellen Cheng-chi Lee, Deborah Frances Mielewski
  • Patent number: 9920154
    Abstract: Articles, methods of making an article, and resin compositions are disclosed. An article is disclosed that includes a cured light-curable resin having dispersed therein a reflective material that reflects at least 85% of the visible light spectrum. A loading of reflective material may be at least 3 wt. % of the article and the reflective material may comprise over 50 vol. % of a total filler composition of the article. The reflective material may be a white or near-white material, such as cellulose fibers, talc powder, or soy flour. A method is disclosed that includes mixing a reflective material that reflects at least 85% of the visible light spectrum with a photopolymer resin at a loading of at least 3 wt. % to form a resin mixture and curing the resin mixture using a light source in an additive manufacturing process. The disclosed resins may reduce the curing time of additive manufacturing processes.
    Type: Grant
    Filed: November 15, 2016
    Date of Patent: March 20, 2018
    Assignee: Ford Global Technologies, LLC
    Inventors: Amy Ellen Langhorst, Ellen Cheng-chi Lee, Deborah Frances Mielewski
  • Patent number: 9764580
    Abstract: The purpose of the present invention is to provide a thermal transfer sheet which can prevent a kick and a scumming, and can form a photographic tone color image of high quality with a continuous tone image by sublimation transfer, while expanding the range of choices for colorants to be included in a colorant layer; a coating liquid for colorant layer to be used for forming the colorant layer of this thermal transfer sheet; a method for manufacturing this thermal transfer sheet; and image forming method employing this thermal transfer sheet.
    Type: Grant
    Filed: March 28, 2014
    Date of Patent: September 19, 2017
    Assignee: DAI NIPPON PRINTING CO., LTD.
    Inventors: Kazuya Yoshida, Hiroaki Segawa, Tomohiko Imoda, Yoshimasa Kobayashi, Tomoko Suzuki, Hiroyuki Hasegawa, Kano Sakamoto
  • Patent number: 9711363
    Abstract: A plating method includes forming a catalyst layer 118 on a surface of a substrate including an inner surface of a recess 112; drying the substrate having the catalyst layer formed thereon such that an inside of the recess is dried as well; removing the catalyst layer at least on the surface of the substrate at the outside of the recess by supplying a processing liquid, which is configured to dissolve a material of the surface of the substrate, onto the surface of the substrate while rotating the dried substrate and while preventing or suppressing the processing liquid from being introduced into the dried inside of the recess; and forming a plating layer 119 on the inside of the recess, at which the catalyst layer is not removed, by an electroless plating process.
    Type: Grant
    Filed: April 14, 2016
    Date of Patent: July 18, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Nobutaka Mizutani, Mitsuaki Iwashita, Takashi Tanaka
  • Patent number: 9607733
    Abstract: A double-sided transparent conductive film including a base material film, having an anti-blocking layer, an optical adjusting layer and a transparent conductive layer formed in this order on each of both sides of the base material film, wherein an anti-blocking layer containing particles is formed at least one of: a location between the base material film and one optical adjusting layer; and a location between the base material film and the other optical adjusting layer, the anti-blocking layer has a flat portion and protrusion portions caused by the particles, and a value obtained by subtracting a thickness of the flat portion of the anti-blocking layer from a mode diameter of the particles is larger than a thickness of the optical adjusting layer.
    Type: Grant
    Filed: May 20, 2014
    Date of Patent: March 28, 2017
    Assignee: NITTO DENKO CORPORATION
    Inventors: Katsunori Takada, Naoki Hashimoto, Shinya Hiraoka, Kazuhiro Ikai, Hiroki Kuramoto, Hiroyuki Takao, Naoki Tsuno, Toru Umemoto
  • Patent number: 9525121
    Abstract: An electromechanical transducer includes a first electrode; a silicon oxide film disposed on the first electrode; and a vibration film including a silicon nitride film disposed on the silicon oxide film with a space therebetween and a second electrode disposed on the silicon nitride film so as to oppose the first electrode.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: December 20, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Kazutoshi Torashima, Takahiro Akiyama, Toshio Tomiyoshi
  • Publication number: 20150140481
    Abstract: Various patterning methods utilize certain crosslinkable reactive polymers comprise -A- and -B- recurring units, arranged randomly along a backbone. The -A- recurring units comprise pendant aromatic sulfonic acid oxime ester groups that are capable of providing pendant aromatic sulfonic acid groups upon irradiation with radiation having a ?max of at least 150 nm and up to and including 450 nm. The -A- recurring units are present in the reactive polymer in an amount of up to and including 98 mol % based on total reactive polymer recurring units. The -B- recurring units comprise pendant groups that provide crosslinking upon generation of the aromatic sulfonic acid groups in the -A- recurring units. The -B- recurring units are present in an amount of at least 2 mol %, based on total reactive polymer recurring units.
    Type: Application
    Filed: November 20, 2013
    Publication date: May 21, 2015
    Inventors: Allan Wexler, Grace Ann Bennett, Kimberly S. Lindner
  • Patent number: 8852947
    Abstract: A photographic material for image display including an intimately sorbed contrast agent that provides a contrast layer against which the recorded image can be seen regardless of the nature and color of the background.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: October 7, 2014
    Assignee: Smartwater Research Limited
    Inventors: Michael Cleary, Marcello Vitale, Sharon Robinson
  • Publication number: 20140178807
    Abstract: The present invention provides structures including a substrate, a crosslinked polymer film disposed over the substrate, and a patterned diblock copolymer film disposed over the crosslinked polymer film. The crosslinked polymer comprises a random copolymer polymerized from a first monomer, a second monomer, and a photo-crosslinkable and/or thermally crosslinkable third monomer, including epoxy-functional or acrylyol-functional monomers. Also disclosed are methods for forming the structures.
    Type: Application
    Filed: February 28, 2014
    Publication date: June 26, 2014
    Applicant: Wisconsin Alumni Research Foundation
    Inventors: Padma Gopalan, Eungnak Han
  • Patent number: 8715914
    Abstract: An organometallic composition containing an organometallic compound (I) containing Ag, an organometallic compound (II) containing Au, Pd, or Ru, and an organometallic compound (III) containing Ti, Ta, Cr, Mo, Ru, Ni, Pd, Cu, Au, or Al, wherein the metal components of organometallic compounds (II) and (III), respectively, are present in an amount of 0.01˜10 mol % based on the amount of Ag in the organometallic compound (I), and a method of forming a metal alloy pattern using the same. Silver alloy patterns can be obtained through a simplified manufacturing process, which patterns have enhanced heat resistance, adhesiveness, and chemical stability. The method may be applied to making a reflective film for LCD and metal wiring (gate, source, drain electrode) for flexible displays or flat panel displays, and further to CMP-free damascene processing and PR-free ITO film deposition.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: May 6, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Young Kim, Soon Taik Hwang, Young Hun Byun, Euk Che Hwang, Sang Yoon Lee
  • Patent number: 8647796
    Abstract: A system and method for forming photoresists over semiconductor substrates is provided. An embodiment comprises a photoresist with a concentration gradient. The concentration gradient may be formed by using a series of dry film photoresists, wherein each separate dry film photoresist has a different concentration. The separate dry film photoresists may be formed separately and then placed onto the semiconductor substrate before being patterned. Once patterned, openings through the photoresist may have a tapered sidewall, allowing for a better coverage of the seed layer and a more uniform process to form conductive materials through the photoresist.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: February 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chung-Shi Liu, Hung-Jui Kuo
  • Patent number: 8515154
    Abstract: A method for verifying repairs on masks for photolithography is provided. A mask fabricated based on a mask layout is inspected for defects, and the positions at which defects are found on the mask are stored in a position file. In a repair step, the defects are repaired and, for each repaired position, in a verification step, an aerial image of the mask is taken at that position and the aerial image is analyzed to determine whether at that position the mask meets tolerance criteria established for one or more selected target parameters, and if the tolerance criteria have been met, the repair is verified. The verification can include a) based on the position file, a desired structure is defined in the mask layout at the repaired position, b) an aerial image is simulated for the desired structure, c) the captured aerial image is compared with the simulated one, and d) based on the comparison, a decision is made as to whether the repair at that position is verified.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: August 20, 2013
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Thomas Scherübl, Matthias Wächter, Hans Van Doornmalen
  • Patent number: 8486592
    Abstract: A radiation-sensitive material comprising a support and a radiation sensitive composition on the support is disclosed, wherein the radiation sensitive composition includes a dispersion containing nanoparticles of a sensitizer.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: July 16, 2013
    Assignee: ISP Investments Inc.
    Inventors: Hsiao-Yi Shih, Guojin Lu, David F. Lewis, Xiang Yu
  • Patent number: 8168372
    Abstract: Novel, developer-soluble, hard mask compositions and methods of using those compositions to form microelectronic structures are provided. The composition comprises the compound a compound for controlling development rate, and a crosslinking agent in a solvent system. The methods involve applying the composition to a substrate and curing the composition. An imaging layer is applied to the composition, followed by light exposure and developing, during which the light-exposed portions of the imaging layer are removed, along with portions of the hard mask composition adjacent said light-exposed portions. The size of the hard mask composition structures are controlled by the development rate, and they yield feature sizes that are a fraction of the imaging layer feature sizes, to give a pattern that can ultimately be transferred to the substrate.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: May 1, 2012
    Assignee: Brewer Science Inc.
    Inventor: Sam X. Sun
  • Patent number: 8163463
    Abstract: A photoresist composition including an oxetane-containing compound represented by Formula 1 or 2, an oxirane-containing compound represented by Formula 3 or 4, a photoinitiator, and a solvent, a method of forming a pattern using the photoresist composition, and an inkjet print head including a polymerization product of the photoresist composition. The photoresist composition provides a polymerization product which resists formation of cracks due to an inner stress, and has excellent heat tolerance, excellent chemical resistance, excellent adhesiveness, and excellent durability.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: April 24, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-baek Kim, Byung-ha Park, Kyu-sik Kim, Young-ung Ha, Su-min Kim
  • Patent number: 7883838
    Abstract: An organometallic composition containing an organometallic compound (I) containing Ag, an organometallic compound (II) containing Au, Pd, or Ru, and an organometallic compound (III) containing Ti, Ta, Cr, Mo, Ru, Ni, Pd, Cu, Au, or Al, wherein the metal components of organometallic compounds (II) and (III), respectively, are present in an amount of 0.01˜10 mol % based on the amount of Ag in the organometallic compound (I), and a method of forming a metal alloy pattern using the same. Silver alloy patterns can be obtained through a simplified manufacturing process, which patterns have enhanced heat resistance, adhesiveness and chemical stability. The method may be applied to making a reflective film for LCD and metal wiring (gate, source, drain electrode) for flexible displays or flat panel displays, and further to CMP-free damascene processing and PR-free ITO film deposition.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: February 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Young Kim, Soon Taik Hwang, Young Hun Byun, Euk Che Hwang, Sang Yoon Lee
  • Patent number: 7759048
    Abstract: A photosensitive resin composition according to the present invention includes: a copolymer having a repeating unit having a thermal crosslinking group; and a photosensitive agent. As such, the photosensitive resin composition according to the present invention can form a resin with improved heat resistance. Further, a microlens-forming photosensitive resin composition according to the present invention includes: a copolymer having a repeating unit having a thermal crosslinking group; and a photosensitive agent, the copolymer having a mass-average molecular weight of 10,000 to 30,000. As such, the microlens-forming photosensitive resin composition according to the present invention can form a resin with improved heat resistance.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: July 20, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yasuaki Sugimoto, Yumiko Kase
  • Patent number: 7579120
    Abstract: In a reticle substrate is used for forming a reticle held on a stepper and has main surfaces opposing each other, side faces, and chamfered surfaces formed between main surfaces and side faces, a flatness-measuring area is defined as an area excluding a peripheral area of a width of 3 mm inwardly laid from a boundary between the main surface and the chamfered surfaces and has a flatness of 0.5 ?m or less, and a maximum height from a reference plane falls between ?1 and 0 ?m at the boundary between the main surface and the chamfered surface.
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: August 25, 2009
    Assignee: Hoya Corporation
    Inventor: Hiroyuki Akagawa
  • Patent number: 7524610
    Abstract: An oxetane-containing compound, a photoresist composition including the same, a method of preparing patterns using the photoresist composition, and an inkjet print head including polymerization products of the oxetane-containing compound.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Kyu-sik Kim, Jin-baek Kim, Young-ung Ha, Byung-ha Park, Ji-young Park, Su-min Kim
  • Patent number: 7381506
    Abstract: An image forming medium includes a substrate and a mixture including a photochromic material and a solvent wherein the mixture is coated on the substrate, such that the photochromic material exhibits a reversible homogeneous-heterogeneous transition between a colorless state and a colored state in the solvent.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: June 3, 2008
    Assignee: Xerox Corporation
    Inventors: Gabriel Iftime, Naveen Chopra, Peter M. Kazmaier
  • Patent number: 7348104
    Abstract: A method is disclosed for forming an array of focusing elements for use in a lithography system. The method involves varying an exposure characteristic over an area to create a focusing element that varies in thickness in certain embodiments. In further embodiments, the method includes the steps of providing a first pattern via lithography in a substrate, depositing a conductive absorber material on the substrate, applying an electrical potential to at least a first portion of the conductive absorber material, leaving a second portion of the conductive material without the electrical potential, and etching the second portion of the conductive material to provide a first pattern on the substrate that is aligned with the first portion of the conductive absorber material.
    Type: Grant
    Filed: October 2, 2003
    Date of Patent: March 25, 2008
    Assignee: Massachusetts Institute of Technology
    Inventors: Dario Gil, Jeffrey T. Hastings, James G. Goodberlet, Rajesh Menon, David J. Carter, Henry I. Smith
  • Patent number: 7316874
    Abstract: Methods of forming a patterned semiconducting-dielectric material on a substrate by thermal processes are disclosed, comprising heating a thermally imageable donor element comprising a substrate and a transfer layer of semiconductive material in conjunction with a dielectric. The donor is exposed with the positive image of the desired pattern to be formed on the receiver, such that the exposed portions of the layer of semiconductive and dielectric material are simultaneously transferred, forming the desired pattern of semiconductive and dielectric material on the receiver. The semiconducting material can be patterned to form a thin film transistor. The method can also be used to pattern a light-emitting polymer or small molecule in conjunction with the charge injection layer to form the light-emitting display for light-sensitive organic electronic devices. Donor elements for use in the process are also disclosed.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: January 8, 2008
    Assignee: E. I. du Pont de Nemours and Company
    Inventor: Graciela Beatriz Blanchet-Fincher
  • Publication number: 20070298334
    Abstract: Providing a fabrication method of a periodic domain inversion structure. A nonlinear optical ferroelectric material substrate is provided. A photoresist layer is formed on the upper and the lower surface of the substrate, and periodic gratings formed by interference of two laser beams are employed to expose the photoresist layer on the upper surface. Meanwhile, the two laser beams pass through the substrate, so the periodic gratings are used to expose the photoresist layer on the lower surface. A development process is performed to form a periodic photoresist pattern on the two surfaces of the substrate. A conductive layer is formed above the substrate for covering the photoresist pattern and the surface of the exposed substrate. The photoresist pattern and a portion of the conductive layer thereon are removed by lift-off. A voltage is applied to the substrate via the remaining conductive layer to polarize parts of the substrate.
    Type: Application
    Filed: March 5, 2007
    Publication date: December 27, 2007
    Applicant: NATIONAL CENTRAL UNIVERSITY
    Inventors: Jyh-Chen Chen, Chang-Hung Chiang, Yeeu-Chang Lee, Cheng-Wei Chien
  • Patent number: 7285363
    Abstract: A method for crosslinking one or more molecules comprises crosslinking the one or more molecules with a photactivatable crosslinker by one-photon or multi-photon excitation, wherein the crosslinker comprises at least two photoactive groups linked by a bridging moiety, and further wherein the point volume of the activation has at least one dimension of less than about 1 micron. The method is of particular utility for water-soluble molecules, particularly biologically active water-soluble molecules.
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: October 23, 2007
    Assignee: The University of Connecticut
    Inventors: Paul J. Campagnola, Amy R. Howell, Jun Wang, Steven L. Goodman
  • Patent number: 7276278
    Abstract: The laminate film for covering of an image carried by a support has a construction comprising a transparent base material and a transparent adhesive layer formed on one side of the base material, wherein the adhesive layer is composed of a pressure-sensitive adhesive and has a surface with a fine uneven structure.
    Type: Grant
    Filed: September 19, 2002
    Date of Patent: October 2, 2007
    Assignee: 3M Innovative Properties Company
    Inventors: Koji Kamiyama, Haruyuki Mikami, Shigeaki Dohgoshi, Joseph C. Carls
  • Patent number: 7241537
    Abstract: Method for producing an exposed substrate, which has at least two different image areas. The substrate is provided with at least two photoresist layers, which are adjusted to the type of image areas to be produced.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: July 10, 2007
    Assignee: Giesecke & Devrient GmbH
    Inventor: Wittich Kaule
  • Patent number: 7195716
    Abstract: An etching process is described. A material layer having a bottom anti-reflection coating (BARC) and a patterned photoresist layer thereon is provided. An etching step is performed to the BARC using the patterned photoresist layer as a mask. A cleaning step is performed to remove the polymer formed on the surface of the patterned photoresist layer. Thereafter, another etching step is performed to the material layer using the patterned photoresist layer as a mask.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: March 27, 2007
    Assignee: United Microelectronics Corp.
    Inventor: Pei-Yu Chou
  • Patent number: 7175966
    Abstract: A multilayer lithographic structure which includes a substrate, having on a major surface thereof a first layer including a water and/or aqueous base soluble material which includes Ge, O, and H, and optionally X, wherein X is at least one of Si, N, and F; and disposed on the first layer a second layer which includes an energy photoactive material.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: February 13, 2007
    Assignee: International Business Machines Corporation
    Inventors: Katherina E Babich, Alfred Grill, Arpan P Mahorowala, Dirk P Pfeiffer
  • Patent number: 7122280
    Abstract: A square substrate has a pair of opposed major surfaces and peripheral end faces therebetween, wherein a tapered edge portion is disposed between the peripheral end face and each major surface to define an inner boundary with the major surface, and has a width of 0.2–1 mm from the peripheral end face. Both or either one of the major surfaces of the substrate has a flatness of up to 0.5 ?m in an outside region of the substrate that extends between a position spaced 3 mm inward from the peripheral end face and the inner boundary of the tapered edge portion.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: October 17, 2006
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jiro Moriya, Masataka Watanabe, Satoshi Okazaki
  • Patent number: 7122235
    Abstract: The invention relates to a web material comprising a carrier sheet, a continuous pragmatic sheet and an adhesive layer wherein the adhesive layer is between the carrier sheet and the pragmatic sheet, the adhesive layer more strongly adheres to the pragmatic sheet and the pragmatic sheet is narrower than the carrier sheet wherein the side of the carrier sheet in contact with the adhesive is reflective.
    Type: Grant
    Filed: June 11, 2001
    Date of Patent: October 17, 2006
    Assignee: Eastman Kodak Company
    Inventors: Robert P. Bourdelais, John J. Seyna, John M. Palmeri, Robert G. Spencer, Wayne K. Shaffer, David C. Press
  • Patent number: 7094453
    Abstract: The invention relates to a web material comprising a carrier sheet, a continuous pragmatic sheet and an adhesive layer wherein the adhesive layer is between said carrier sheet and the pragmatic sheet, said adhesive layer more strongly adheres to the pragmatic sheet and the pragmatic sheet is narrower than the carrier sheet.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: August 22, 2006
    Assignee: Eastman Kodak Company
    Inventors: Robert P. Bourdelais, John J. Seyna, John M. Palmeri, Robert G. Spencer
  • Patent number: 7063924
    Abstract: The invention relates to an image device comprising a base material having a pattern of diffuse and specular metallic reflectivity and overlaying said pattern an image.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: June 20, 2006
    Assignee: Eastman Kodak Company
    Inventors: Cheryl J. Kaminsky, Robert P. Bourdelais
  • Patent number: 6995911
    Abstract: Micro-lenses for use in imagers and their method of manufacture from intermediate lens structures are described. Lithographic masks are used to remove unwanted portions from the intermediate lens structures and to remove cut-out portions from the intermediate lens structures to alter the radius of the resultant micro-lenses. Lithographic masks are also used to inhibit pull-back of the micro-lenses during a reflow step.
    Type: Grant
    Filed: June 26, 2003
    Date of Patent: February 7, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Ulrich C. Boettiger
  • Patent number: 6989221
    Abstract: A photographic article. According to one embodiment, the photographic article comprises: a first image area comprising a photographic film negative of an image; and a second image area, different than the first image area, comprising a positive image of the image.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: January 24, 2006
    Assignee: Eastman Kodak Company
    Inventors: Ronald M. Wexler, Jeffrey L. Hall, Kenneth A. Parulski
  • Patent number: 6916583
    Abstract: A method for printing an image on a surface of a substrate having a surface finish defined by a gloss, the method comprising: printing an image on the surface of the substrate, such that image areas thereof have a thickness, when fixed to the substrate and dry, of less than about 6 micrometers of a thermoplastic material; and smoothing the surface of at least a portion of the thermoplastic material.
    Type: Grant
    Filed: February 6, 2000
    Date of Patent: July 12, 2005
    Assignee: Hewlett-Packard Indigo N.V.
    Inventors: Benzion Landa, Ishaiau Lior, Itzhak Ashkenazi, Avner Schneider
  • Patent number: 6890690
    Abstract: A photographic article. According to one embodiment, the photographic article comprises: a first image area comprising a photographic film negative of an image; and a second image area, different than the first image area, comprising a positive image of the image.
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: May 10, 2005
    Assignee: Eastman Kodak Company
    Inventors: Ronald M. Wexler, Jeffrey L. Hall, Kenneth A. Parulski
  • Patent number: 6849366
    Abstract: A photographic film with a row of sprocket holes formed on each side thereof includes a sensitometric step wedge of different light intensity values exposed along one side of the film, preferably located between the sprocket holes.
    Type: Grant
    Filed: August 11, 2003
    Date of Patent: February 1, 2005
    Inventor: Ujwal Narayan Nirgudkar
  • Patent number: 6818363
    Abstract: A thermally imageable layer comprising an aqueous dispersion containing an immiscible compound, typically a near infrared absorber, and a dispersant, typically an acrylic polymer, which layer is useful in laser induced colorant transfer processes.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: November 16, 2004
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Graciela Beatriz Blanchet Fincher, Ronald J. Convers, Gregory C. Weed
  • Publication number: 20040209180
    Abstract: The invention relates to an imaging element comprising an imaging layer and a support, wherein the support comprises a base material having thereon at least two polyolefin layers, wherein the uppermost layer of the at least two polyolefin layers comprises a mixture of optical brighteners comprising a Compound A having the following formula: 1
    Type: Application
    Filed: April 15, 2003
    Publication date: October 21, 2004
    Inventors: Elizabeth K. Priebe, William A. Mruk, James C. Pirchner, Suresh Sunderrajan
  • Patent number: 6792326
    Abstract: A material delivery system is provided for miniature structures fabrication which has a substrate, a material carrier having a deposition layer, and a laser beam directed towards the material carrier element. A control unit is operatively coupled to the substrate, the material carrier element and laser beam for exposing respective areas of the deposition layer to the laser beam in a patterned manner so that the depositable material of the deposition layer is transferred to the substrate surface for deposition on its surface. The system operates in either an additive mode of operation, or a subtractive mode of operation so that a workpiece does not have to be removed from the tool when change of modes of operation takes place.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: September 14, 2004
    Assignee: Potomac Photonics, Inc.
    Inventor: Michael T. Duignan
  • Publication number: 20040161680
    Abstract: A photographic article. According to one embodiment, the photographic article comprises: a first image area comprising a photographic film negative of an image; and a second image area, different than the first image area, comprising a positive image of the image.
    Type: Application
    Filed: March 14, 2003
    Publication date: August 19, 2004
    Inventors: Ronald M. Wexler, Jeffrey L. Hall, Kenneth A. Parulski
  • Patent number: 6772151
    Abstract: A system and a method are provided for matching the color of a target sample at a remote location. The system has a first computer that is operative to receive and transmit target spectral data at a first location. A second computer in the system is located at a second location remote from the first computer. The second computer is operative to receive the target spectral data or formula identifier from the first computer. The system includes a database including a plurality of data sets. Each data set has at least spectral data corresponding to standard samples. The system also has software capable of searching the database using the target spectral data as search criteria and returning a match with a data set having standard spectral data that matches the target spectral data. The system optionally has a device that can sense the target spectral data of the target sample and input that target spectral data into the first computer, optionally also non-spectral data and QC capability.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: August 3, 2004
    Assignee: Polyone Corporation
    Inventors: Richard C. Johnston, Susan Bates, Scott Russell, Michael E. Masterson, Kenneth E. Jacobson, Alan R. Burgess, David L. Sapp
  • Patent number: 6767676
    Abstract: The optical functional element contains aggregates of developed silver grains obtained by developing silver halide grains arranged so as to constitute a periodical structure. The element includes a substrate and a medium layer. The aggregates of the developed silver grains are arranged in the medium layer so as to constitute said periodical structure. The element is produced by first selectively exposing a photo-curing resin layer formed on said substrate in which the silver halide grains are dispersed so as to selectively photo-cure the photo-curing resin layer, then overall exposing the photo-curing resin layer to expose the silver halide grains in the photo-curing resin layer, and thereafter developing the photo-curing resin layer.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: July 27, 2004
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Kimitoshi Nagao
  • Publication number: 20040126682
    Abstract: A print control for flexographic printing, particularly a flexographic printing form comprising a print control element, and a process for producing such a flexographic printing form.
    Type: Application
    Filed: September 10, 2003
    Publication date: July 1, 2004
    Inventors: Martin Leonhard Dreher, Carsten Gasczyk
  • Patent number: 6756165
    Abstract: A barrier rib for an EL display element. The rib is formed from the radiation sensitive resin composition containing (A) an alkali soluble resin, (B) a polymerizable compound having an ethylenically unsaturated bond, and (C) a radiation sensitive polymerization initiator.
    Type: Grant
    Filed: April 24, 2001
    Date of Patent: June 29, 2004
    Assignee: JSR Corporation
    Inventors: Isao Nishimura, Masayoshi Suzuki, Masayuki Endo