Insulative Housing Or Support Patents (Class 438/125)
  • Patent number: 9018040
    Abstract: A method including a printed circuit board electrically coupled to a bottom of a laminate substrate, the laminate substrate having an opening extending through the entire thickness of the laminate substrate, a main die electrically coupled to a top of the laminate substrate, a die stack electrically coupled to a bottom of the main die, the die stack including one or more chips stacked vertically and electrically coupled to one another, the die stack extending into the opening of the laminate substrate, and an interposer positioned between and electrically coupled to a topmost chip and the printed circuit board, the interposer providing an electrical path from the printed circuit board to the topmost chip of the die stack.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: April 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Mark C. Lamorey, Janak G. Patel, Peter Slota, Jr., David B. Stone
  • Publication number: 20150111346
    Abstract: A semiconductor chip including through silicon vias (TSVs), wherein the TSVs may be prevented from bending and the method of fabricating the semiconductor chip may be simplified, and a method of fabricating the semiconductor chip. The semiconductor chip includes a silicon substrate having a first surface and a second surface; a plurality of TSVs which penetrate the silicon substrate and protrude above the second surface of the silicon substrate; a polymer pattern layer which is formed on the second surface of the silicon substrate, surrounds side surfaces of the protruding portion of each of the TSVs, and comprises a flat first portion and a second portion protruding above the first portion; and a plated pad which is formed on the polymer pattern layer and covers a portion of each of the TSVs exposed from the polymer pattern layer.
    Type: Application
    Filed: January 6, 2015
    Publication date: April 23, 2015
    Inventors: Jin-ho Chun, Byung-Iyul PARK, Hyun-soo CHUNG, Gil-heyun CHOI, Son-kwan HWANG
  • Patent number: 9012269
    Abstract: Fan-out wafer level packaging includes an integrated circuit having a top surface, a bottom surface, a plurality of side surfaces, and a bond pad defined on the top surface. A layer of encapsulant substantially surrounds the side surfaces of the integrated circuit, the layer of encapsulant having a height substantially equal to a height of the integrated circuit. A bump is spaced apart from the integrated circuit, and a redistribution layer electrically couples the bond pad of the integrated circuit to the bump.
    Type: Grant
    Filed: June 4, 2012
    Date of Patent: April 21, 2015
    Assignee: STMicroelectronics PTE Ltd.
    Inventors: Yonggang Jin, Xavier Baraton, Faxing Che
  • Publication number: 20150102494
    Abstract: A method for forming voids corresponding to pads of SMT components is provided. The method comprises following steps: One or more condition parameters are inputted into a searching unit. The searching unit searches all of the pads with reference to the condition parameters to obtain a pre-selected group of pads. A judgment unit is provided to determine whether each pad of the pre-selected group of pads meets a pre-determined processing requirement to generate a to-be-processed group of pads. An execution unit executes a void formation step with reference to corner coordinates of each of the to-be-processed group of pads, so as to form at least a void at the portion of a contact surface corresponding to a corner of the pad. In an embodiment, four voids which are related to respective corners of each pad of the to-be-processed group are formed at the contact surface accordingly.
    Type: Application
    Filed: March 19, 2014
    Publication date: April 16, 2015
    Applicant: Wistron Corporation
    Inventors: Hui-Ying Chou, Lee-Chieh Kang
  • Patent number: 9006880
    Abstract: The present invention relates to a surface mount package for a micro-electro-mechanical system (MEMS) microphone die and methods for manufacturing the surface mount package. The surface mount package uses a limited number of components that simplifies manufacturing and lowers costs. The surface mount package features a substrate that performs functions for which multiple components were traditionally required, including providing an interior surface on which the MEMS microphone die is mechanically attached, providing an interior surface for making electrical connections between the MEMS microphone die and the package, and providing an exterior surface for surface mounting the microphone package to a device's printed circuit board and for making electrical connections between the microphone package and the device's circuit board. The microphone package has a substrate with metal pads on its top and bottom surfaces, a sidewall spacer, and a lid.
    Type: Grant
    Filed: January 14, 2014
    Date of Patent: April 14, 2015
    Assignee: Knowles Electronics, LLC
    Inventor: Anthony D. Minervini
  • Patent number: 9005709
    Abstract: A method for fabricating a substrate for transfer printing using a concave-convex structure and a substrate for transfer printing fabricated thereby. The method includes preparing a handling substrate having a concave-convex structure formed thereon; forming a sacrificial layer along the concave-convex structure on the handling substrate; coating a polymer on the handling substrate having the sacrificial layer formed thereon to form a polymer substrate having bumps filling a concave portion of the concave-convex structure; and removing the sacrificial layer from the handling substrate. The substrate includes a handling substrate having a concave-convex structure formed thereon; and a polymer substrate placed on the concave-convex structure and having bumps filling a concave portion of the concave-convex structure of the handling substrate.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: April 14, 2015
    Assignee: Gwangju Institute of Science and Technology
    Inventors: Heung Cho Ko, Seok Ho Kim, Jongwon Yoon, Young Kyu Hwang, Su Ok Yun, Hun Soo Jang, Seong-Ju Park, Hyun-A Cho, Byeong-Il Noh, Jaeyi Chun
  • Publication number: 20150097295
    Abstract: A semiconductor device has a semiconductor die with a plurality of bumps formed over a surface of the semiconductor die. A first conductive layer having first and second segments is formed over a surface of the substrate with a first vent separating an end of the first segment and the second segment and a second vent separating an end of the second segment and the first segment. A second conductive layer is formed over the surface of the substrate to electrically connect the first segment and second segment. The thickness of the second conductive layer can be less than a thickness of the first conductive layer to form the first vent and second vent. The semiconductor die is mounted to the substrate with the bumps aligned to the first segment and second segment. Bump material from reflow of the bumps is channeled into the first vent and second vent.
    Type: Application
    Filed: December 16, 2014
    Publication date: April 9, 2015
    Applicant: STATS CHIPPAC, LTD.
    Inventors: JaeHyun Lee, SunJae Kim, JoongGi Kim
  • Publication number: 20150097286
    Abstract: A chip package includes a packaging substrate, a semiconductor chip, and a plurality of conductive structures. The semiconductor chip has a central region and an edge region that surrounds the central region. The conductive structures are between the packaging substrate and the semiconductor chip. The conductive structures have different heights, and the heights of the conductive structures are gradually increased from the central region of the semiconductor chip to the edge region of the semiconductor chip, such that a distance between the edge region of the semiconductor chip and the packaging substrate is greater than a distance between the central region of the semiconductor chip and the packaging substrate.
    Type: Application
    Filed: December 11, 2014
    Publication date: April 9, 2015
    Inventors: Wei-Luen SUEN, Chia-Sheng LIN, Yen-Shih HO, Tsang-Yu LIU
  • Publication number: 20150099330
    Abstract: The present disclosure is directed to the use of glass wafers as carriers, interposers, or in other selected applications in which electronic circuitry or operative elements, such as transistors, are formed in the creation of electronic devices. The glass wafers generally include a glass having a coefficient of thermal expansion equal to or substantially equal to a coefficient of thermal expansion of semiconductor silicon, an indexing feature, and a coating on at least a portion of one face of the glass.
    Type: Application
    Filed: September 17, 2014
    Publication date: April 9, 2015
    Inventors: Joseph Eugene Canale, Jeffrey Stapleton King, Gary Richard Trott
  • Patent number: 8999759
    Abstract: A method for fabricating a packaging structure having an embedded semiconductor element includes: providing a substrate having opposite first and second surfaces and at least an opening penetrating the first and second surfaces; forming a first metallic frame around the periphery of the opening on the first surface; forming at least an opening inside the first metallic frame by laser ablation; disposing a semiconductor chip in the opening; forming a first dielectric layer on the first and second surfaces and the chip; forming a first wiring layer on the first dielectric layer of the first surface; and forming a first built-up structure on the first dielectric layer and the first wiring layer of the first surface. A shape of the opening is precisely controlled through the first metallic frame around the periphery of the predefined opening region, thereby allowing the chip to be precisely embedded in the substrate.
    Type: Grant
    Filed: May 23, 2013
    Date of Patent: April 7, 2015
    Assignee: Unimicron Technology Corporation
    Inventor: Kan-Jung Chia
  • Patent number: 8999758
    Abstract: Semiconductor die are assembled on a substrate by providing the semiconductor die, substrate, and an elastically deformable foil fixture preformed with one or more sunken regions having sidewalls and a bottom, and placing the semiconductor die in the one or more sunken regions so that the foil fixture is populated with a first side of the semiconductor die facing the bottom of the one or more sunken regions and a second opposing side of the semiconductor die facing away from the bottom of the one or more sunken regions. The substrate is placed adjacent the second side of the semiconductor die with a joining material interposed between the substrate and the semiconductor die. The substrate and the populated foil fixture are pressed together at an elevated temperature and pressure via first and second pressing tool members so that the substrate is attached to the second side of the semiconductor die via the joining material.
    Type: Grant
    Filed: August 12, 2011
    Date of Patent: April 7, 2015
    Assignee: Infineon Technologies AG
    Inventor: Reinhold Bayerer
  • Patent number: 8999764
    Abstract: Methods of blocking ionizing radiation to reduce soft errors and resulting IC chips are disclosed. One embodiment includes forming a front end of line (FEOL) for an integrated circuit (IC) chip; and forming at least one back end of line (BEOL) dielectric layer including ionizing radiation blocking material therein. Another embodiment includes forming a front end of line (FEOL) for an integrated circuit (IC) chip; and forming an ionizing radiation blocking layer positioned in a back end of line (BEOL) of the IC chip. The ionizing radiation blocking material or layer absorbs ionizing radiation and reduces soft errors within the IC chip.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: April 7, 2015
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Ian D. Melville, Kevin S. Petrarca, Kenneth P. Rodbell
  • Publication number: 20150092142
    Abstract: Embodiments of the present invention provide a liquid crystal display device and a manufacturing method thereof. The device comprises: an upper substrate, comprising: substrate; a color filter and a black matrix, formed on a surface of the substrate facing a lower substrate in the same layer; a lower substrate, cell-assembled with the upper substrate and comprising: a base substrate; a gate metal bus, a gate insulating layer, a source/drain metal bus and a first insulating protection layer, which are formed on the base substrate sequentially; a transparent electrode, formed on the first insulating protection layer; and a second insulating protection layer, covering the transparent electrode; and a seal agent, provided at a periphery of a display area of the liquid crystal display device, wherein an upper portion of the seal agent is attached to the substrate and a lower portion thereof is attached to the second insulating protection layer.
    Type: Application
    Filed: October 9, 2013
    Publication date: April 2, 2015
    Applicant: BOE Technology Group Co., Ltd.
    Inventors: Yuting Zhang, Jing Lv, Zheng Fang
  • Publication number: 20150091132
    Abstract: Systems and methods for preventing warpage of a semiconductor substrate in a semiconductor package. A continuous or uninterrupted stiffener structure is designed with a recessed groove, such that passive components, such as, high density capacitors are housed within the recessed groove. The stiffener structure with the recessed groove is attached to the semiconductor substrate using anisotropic conductive film (ACF) or anisotropic conductive paste (ACP). The stiffener structure with the recessed groove surrounds one or more semiconductor devices that may be formed on the semiconductor substrate. The stiffener structure with the recessed groove does not extend beyond horizontal boundaries of the semiconductor substrate.
    Type: Application
    Filed: September 30, 2013
    Publication date: April 2, 2015
    Applicant: QUALCOMM Incorporated
    Inventors: Dong Wook KIM, Kyu-Pyung HWANG, Young Kyu SONG, Changhan Hobie YUN
  • Publication number: 20150091176
    Abstract: An electronic component includes at least one semiconductor device and a redistribution board comprising at least two nonconductive layers and a conductive redistribution structure. The semiconductor device is embedded in the redistribution board and electrically coupled to the redistribution structure and the redistribution board has a side face with a step. An outer contact pad of the redistribution structure is arranged on the step.
    Type: Application
    Filed: October 1, 2013
    Publication date: April 2, 2015
    Inventors: Ralf Otremba, Josef Höglauer, Jürgen Schredl, Xaver Schlögel, Klaus Schiess
  • Publication number: 20150093860
    Abstract: The present disclosure is directed to orientation-independent device configuration and assembly. An electronic device may comprise conductive pads arranged concentrically on a surface of the device. The conductive pads on the device may mate with conductive pads in a device location in circuitry. Example conductive pads may include at least a first circular conductive pad and a second ring-shaped conductive pad arranged to concentrically surround the first conductive pad. The concentric arrangement of the conductive pads allows for orientation-independent placement of the device in the circuitry. In particular, the conductive pads of the device will mate correctly with the conductive pads of the circuitry regardless of variability in device orientation. In one embodiment, the device may also be configured for use with fluidic self-assembly (FSA). For example, a device housing may be manufactured with pockets that cause the device to attain neutral buoyancy during manufacture.
    Type: Application
    Filed: September 27, 2013
    Publication date: April 2, 2015
    Applicant: OSRAM SYLVANIA INC.
    Inventors: David W. Hamby, Adam M. Scotch, Sridharan Venk, Alan Lenef
  • Patent number: 8993376
    Abstract: A semiconductor device has a base substrate with first and second opposing surfaces. A plurality of cavities and base leads between the cavities is formed in the first surface of the base substrate. The first set of base leads can have a different height or similar height as the second set of base leads. A concave capture pad can be formed over the second set of base leads. Alternatively, a plurality of openings can be formed in the base substrate and the semiconductor die mounted to the openings. A semiconductor die is mounted between a first set of the base leads and over a second set of the base leads. An encapsulant is deposited over the die and base substrate. A portion of the second surface of the base substrate is removed to separate the base leads. An interconnect structure is formed over the encapsulant and base leads.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: March 31, 2015
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Zigmund R. Camacho, Emmanuel A. Espiritu, Henry D. Bathan, Dioscoro A. Merilo
  • Patent number: 8993377
    Abstract: A semiconductor wafer has first and second opposing surfaces. A plurality of conductive vias is formed partially through the first surface of the semiconductor wafer. The semiconductor wafer is singulated into a plurality of first semiconductor die. The first semiconductor die are mounted to a carrier. A second semiconductor die is mounted to the first semiconductor die. A footprint of the second semiconductor die is larger than a footprint of the first semiconductor die. An encapsulant is deposited over the first and second semiconductor die and carrier. The carrier is removed. A portion of the second surface is removed to expose the conductive vias. An interconnect structure is formed over a surface of the first semiconductor die opposite the second semiconductor die. Alternatively, a first encapsulant is deposited over the first semiconductor die and carrier, and a second encapsulant is deposited over the second semiconductor die.
    Type: Grant
    Filed: September 13, 2011
    Date of Patent: March 31, 2015
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Jun Mo Koo, Pandi C. Marimuthu, Seung Wook Yoon, Il Kwon Shim
  • Patent number: 8994164
    Abstract: A semiconductor device includes an insulating substrate having a semiconductor element mounted thereon; an outer case accommodating the insulating substrate; and a metallic terminal bar disposed above the insulating substrate and fixed to side walls of the outer case at both ends thereof. Each of both ends of the terminal bar at a position close to the side wall of the outer case at a surface on an opposite side to a surface facing the insulating substrate is provided with a pressed groove.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: March 31, 2015
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Hideaki Takahashi, Tatsuya Karasawa, Yo Sakamoto
  • Patent number: 8987065
    Abstract: Methods of forming a microelectronic packaging structure and associated structures formed thereby are described. Those methods may include attaching a die to a carrier material, wherein the carrier material comprises a top layer and a bottom layer separated by an etch stop layer; forming a dielectric material adjacent the die, forming a coreless substrate by building up layers on the dielectric material, and then removing the top layer carrier material and etch stop layer from the bottom layer carrier material.
    Type: Grant
    Filed: November 26, 2013
    Date of Patent: March 24, 2015
    Assignee: Intel Corporation
    Inventors: Ravi K. Nailla, John S. Guzek, Javier Soto Gonzalez, Drew W. Delaney, Hamid R. Azimi
  • Publication number: 20150079735
    Abstract: In a process, at least one circuit element is formed in a substrate. A conductive layer is formed over the substrate and in electrical contact with the at least one circuit element. Electrostatic charges are discharged from the substrate via the conductive layer.
    Type: Application
    Filed: September 13, 2013
    Publication date: March 19, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wen-Chien CHANG, Hsiang-Tai LU, Dai-Jang CHEN, Chih-Hsien LIN
  • Publication number: 20150076570
    Abstract: There is provided a semiconductor module and a method for manufacturing the same which make it possible to joint the electrode of the bare-chip transistor and the wiring pattern on the substrate by solder mounting operation, in the same process of solder mounting operation for mounting the bare-chip transistor or other surface mounting devices on the wiring patterns on the substrate. A semiconductor module includes: a plurality of wiring patterns formed on an insulating layer; a bare-chip transistor mounted on one wiring pattern out of the plurality of wiring patterns via a solder; and a copper connector constituted of a copper plate for jointing an electrode formed on a top surface of the bare-chip transistor and another wiring pattern out of the plurality of wiring patterns via a solder.
    Type: Application
    Filed: May 27, 2013
    Publication date: March 19, 2015
    Inventors: Takashi Sunaga, Noboru Kaneko, Osamu Miyoshi
  • Publication number: 20150076683
    Abstract: An integrated circuit device package may include a flexible substrate having a first wiring, an integrated circuit device having a second wiring, a flexible insulation structure having a first opening and a second opening exposing the first wiring and the second wiring, respectively, a third wiring electrically connecting the first wiring to the second wiring, and a flexible protection member covering the third wiring. A stacked flexible integrated circuit device package may include a flexible substrate, a first flexible integrated circuit device including a first connection pad, a second flexible integrated circuit device including a second connection pad, a connection wiring electrically connecting the first and the second connection pads to an external device, and a flexible protection member disposed on the second flexible integrated circuit device.
    Type: Application
    Filed: March 18, 2013
    Publication date: March 19, 2015
    Applicant: HANA MICRON CO., LTD.
    Inventors: Jae-Sung Lim, Ju-Hyung Kim, Jin-Wook Jeong, Hyun-Joo Kim, Hyouk Lee
  • Patent number: 8980698
    Abstract: A method of manufacturing a MEMS device comprises forming a MEMS device element 14. A sacrificial layer 20 is provided over the device element and a package cover layer 24 is provided over the sacrificial layer. A spacer layer 13 is formed over the sacrificial layer and is etched to define spacer portions adjacent an outer side wall of the sacrificial layer. These improve the hermetic sealing of the side walls of the cover layer 24.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: March 17, 2015
    Assignee: NXP, B.V.
    Inventors: Greja Johanna Adriana Verheijden, Gerhard Koops
  • Patent number: 8980669
    Abstract: The present invention discloses an adhesive-free method for preparation of micro electro-mechanical structure, comprising forming a micro electro-mechanical structure on a first substrate, forming an enclosing space for immersing liquid on the first or second substrate, and applying pressure to fix the first and second substrate. Before applying the pressure, the assembly including the two substrates is flipped, to make the contact surface immersed by the immersing liquid.
    Type: Grant
    Filed: November 13, 2013
    Date of Patent: March 17, 2015
    Assignee: Sagatek Co., Ltd.
    Inventors: Jung-Hsiang Chen, Cheng-Szu Chen, Bo-Ting Chen
  • Patent number: 8975120
    Abstract: The reliability of a semiconductor device is to be improved. A microcomputer chip (semiconductor chip) having a plurality of pads formed on a main surface thereof is mounted over an upper surface of a wiring substrate in an opposed state of the chip main surface to the substrate upper surface. Pads coupled to a plurality of terminals (bonding leads) formed over the substrate upper surface comprise a plurality of first pads in which a unique electric current different from the electric current flowing through other pads flows and a plurality of second pads in which an electric current common to the pads flows or does not flow. Another first pad of the first pads or one of the second pads are arranged next to the first pad. The first pads are electrically coupled to a plurality of bonding leads respectively via a plurality of bumps (first conductive members), while the second pads are bonded to the terminals via a plurality of bumps (second conductive members).
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: March 10, 2015
    Assignee: Renesas Electronics Corporation
    Inventors: Naoto Taoka, Atsushi Nakamura, Naozumi Morino, Toshikazu Ishikawa, Nobuhiro Kinoshita
  • Publication number: 20150061102
    Abstract: An electronic device package and fabrication method thereof is provided. First, a semiconductor substrate is provided and the upper surface of it is etched to from recesses. A first isolation layer is formed on the upper surface and the sidewalls of the recesses. A conductive part is formed to fulfill the recesses and a conductive pad is formed on the first isolation layer to connect the conductive part. An electronic device is combined with the semiconductor substrate on the supper surface, wherein the electronic device has a connecting pad electrically connected to the conductive pad. The semiconductor substrate is thinned form its lower surface to expose the conductive part. A second isolation layer is formed below the lower surface and has an opening to expose the conductive part. A redistribution metal line is formed below the second isolation layer and in the opening to electrically connect to the conductive part.
    Type: Application
    Filed: August 27, 2014
    Publication date: March 5, 2015
    Inventors: Chia-Sheng LIN, Yen-Shih HO, Tsang-Yu LIU
  • Publication number: 20150061142
    Abstract: A bottom package for a PoP (package-on-package) may be formed with a reinforcement layer supporting a thin or coreless substrate. The reinforcement layer may provide stiffness and rigidity to the substrate to increase the stiffness and rigidity of the bottom package and provide better handling of the substrate. The reinforcement layer may be formed using core material, a laminate layer, and a metal layer. The substrate may be formed on the reinforcement layer. The reinforcement layer may include an opening sized to accommodate a die. The die may be coupled to an exposed surface of the substrate in the opening. Metal filled vias through the reinforcement layer may be used to couple the substrate to a top package.
    Type: Application
    Filed: November 25, 2013
    Publication date: March 5, 2015
    Applicant: Apple Inc.
    Inventors: Jun Chung Hsu, Jun Zhai
  • Publication number: 20150064850
    Abstract: A method for fabricating a semiconductor structure is disclosed. First, an interposer is disposed on a carrier. The carrier has a base body and a bonding layer bonded to the base body. The interposer has opposite first and second sides and the first side has a plurality of conductive elements. The interposer is disposed on the carrier with the first side bonded to the bonding layer and the conductive elements embedded in the bonding layer. Then, at least a semiconductor element is disposed on the second side of the interposer. As such, the semiconductor element and the interposer form a semiconductor structure. Since the conductive elements are embedded in the bonding layer instead of the base body, the present invention eliminates the need to form concave portions in the base body for receiving the conductive elements. Therefore, the method of the present invention is applicable to interposers of different specifications.
    Type: Application
    Filed: November 7, 2013
    Publication date: March 5, 2015
    Applicant: SILICONWARE PRECISION INDUSTRIES CO., LTD.
    Inventors: Chi-Tung Yeh, Chun-Tang Lin
  • Publication number: 20150060933
    Abstract: An apparatus for supplying a support having a clean surface is provided. Alternatively, an apparatus for manufacturing a stack including a support and a remaining portion of a processed member whose one surface layer is separated is provided. A positioning portion, a slit formation portion, and a peeling portion are included. The positioning portion is provided with a first transfer mechanism of a stacked film including a support and a separator and a table for fixing the stacked film. The slit formation portion is provided with a cutter that can form a slit which does not pass through the separator. The peeling portion is provided with a second transfer mechanism and a peeling mechanism extending the separator and then peeling the separator. In addition, a pretreatment portion activating a support surface is included.
    Type: Application
    Filed: August 26, 2014
    Publication date: March 5, 2015
    Inventors: Masakatsu OHNO, Kohei YOKOYAMA, Satoru IDOJIRI, Hisao IKEDA, Yasuhiro JINBO, Hiroki ADACHI, Yoshiharu HIRAKATA, Shingo EGUCHI
  • Publication number: 20150056755
    Abstract: An electronic device package includes a bump having a post disposed on a contact portion of a semiconductor chip and an enlarged portion laterally protruded from an upper portion of the post; an interconnection portion having a locking portion that substantially surrounds the enlarged portion and an upper sidewall of the post; and a dielectric layer substantially surrounding the bump and the locking portion to separate the interconnection portion from the semiconductor chip.
    Type: Application
    Filed: November 4, 2014
    Publication date: February 26, 2015
    Inventors: Seung Jee KIM, Qwan Ho CHUNG, Jong Hyun NAM, Si Han KIM, Sang Yong LEE, Seong Cheol SHIN
  • Publication number: 20150054167
    Abstract: A semiconductor device has a semiconductor die with a die pad layout. Signal pads in the die pad layout are located primarily near a perimeter of the semiconductor die, and power pads and ground pads are located primarily inboard from the signal pads. The signal pads are arranged in a peripheral row or in a peripheral array generally parallel to an edge of the semiconductor die. Bumps are formed over the signal pads, power pads, and ground pads. The bumps can have a fusible portion and non-fusible portion. Conductive traces with interconnect sites are formed over a substrate. The bumps are wider than the interconnect sites. The bumps are bonded to the interconnect sites so that the bumps cover a top surface and side surfaces of the interconnect sites. An encapsulant is deposited around the bumps between the semiconductor die and substrate.
    Type: Application
    Filed: September 30, 2014
    Publication date: February 26, 2015
    Applicant: STATS ChipPAC, Ltd.
    Inventor: Rajendra D. Pendse
  • Publication number: 20150056754
    Abstract: Disclosed herein is a substrate processing system, including: a feeding apparatus which feeds a substrate including a plurality of unit substrates into the substrate processing system; a vacuum molding apparatus which molds the substrate fed by the feeding apparatus; a paste printing apparatus which prints a solder paste on the substrate molded by the vacuum molding apparatus; a mounting apparatus which mounts an electronic device on the substrate on which the solder paste is printed; and a reflow apparatus which performs a reflow on the substrate on which the electronic device is mounted, wherein the vacuum molding apparatus includes a substrate molding control unit which controls the molding of the substrate. Therefore, the warpage (CAW) of the substrate is limitedly formed to improve the warpage (CAW) dispersion of the substrate, thereby improving bonding reliability and a mounting yield between the chip die and the bump of the substrate.
    Type: Application
    Filed: February 4, 2014
    Publication date: February 26, 2015
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventor: Seock Hyun Park
  • Patent number: 8959756
    Abstract: A method of manufacturing a core substrate having an electronic component, including providing a core substrate having a first surface and a second surface on an opposite side of the first surface, forming a through hole extending from the first surface to the second surface in the core substrate, attaching an adhesive tape to the second surface of the core substrate such that the through hole formed in the core substrate is closed on the second surface, attaching an electronic component to the adhesive tape inside the through hole, filling the through hole with a filler, and removing the adhesive tape from the second surface of the core substrate.
    Type: Grant
    Filed: November 19, 2008
    Date of Patent: February 24, 2015
    Assignee: IBIDEN Co., Ltd.
    Inventors: Hajime Sakamoto, Dongdong Wang
  • Publication number: 20150048471
    Abstract: A semiconductor module, having an integrated circuit, a rewiring layer for externally connecting the integrated circuit, and at least one waveguide integrated into the semiconductor module for radar signals having a conductive pattern, which laterally surrounds the interior of the waveguides, the integrated circuit and the at least one waveguide being embedded, at least in regions, in a housing material of the semiconductor module; as well as a radar sensor, a motor vehicle radar system having such a semiconductor module, and a method for producing a semiconductor module.
    Type: Application
    Filed: January 18, 2013
    Publication date: February 19, 2015
    Applicant: Robert Bosch GmbH
    Inventors: Juergen Hasch, Uwe Wostradowski, Stefan Gaier, Elena Pancera, Carsten Potratz
  • Publication number: 20150049441
    Abstract: A method of manufacturing is provided that includes singulating a circuit board from a substrate of plural of the circuit boards, wherein the circuit board is shaped to have four corner hollows. The corner hollows may be various shapes.
    Type: Application
    Filed: August 5, 2014
    Publication date: February 19, 2015
    Inventors: Suming Hu, Neil McLellan, Andrew K.W. Leung, Jianguo Li
  • Patent number: 8957510
    Abstract: A semiconductor device includes a semiconductor die having a first major surface and a second major surface opposite the first major surface, a first minor surface and a second minor surface opposite the first minor surface, a plurality of contact pads on the first major surface, and a notch which extends from the first minor surface and the second major surface into the semiconductor die. The notch has a notch depth measured from the second major surface into the semiconductor die, wherein the notch depth is less than a thickness of the semiconductor die, and a notch length measured from the first minor surface into the semiconductor die, wherein the notch length is less than a length of the semiconductor die measured between the first and second minor surfaces. The device includes a lead having a first end in the notch, and an encapsulant over the first major surface.
    Type: Grant
    Filed: July 3, 2013
    Date of Patent: February 17, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Tim V. Pham, James R. Guajardo, Michael B. McShane
  • Patent number: 8956915
    Abstract: Provided is a semiconductor device including a flexible circuit board which includes a first external electrode provided on a first face and second and third external electrodes provided on a second face; a plurality of memory devices and passive components; a supporter which is provided with a groove on one face; and a computing processor device. The memory devices and the passive components are connected to the first external electrode, the one face of the supporter is bonded on the first face of the flexible circuit board so that the groove houses the memory devices and the passive components. The flexible circuit board is bent along a perimeter of the supporter to be wrapped around a side face and another face of the supporter. On the flexible circuit board, the second external electrode is provided on the second face which is opposite to the first external electrode, and the third external electrode is provided on the second face which is bent to the another face of the supporter.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: February 17, 2015
    Assignees: NEC Corporation, NEC AccessTechnica Ltd.
    Inventors: Takao Yamazaki, Shinji Watanabe, Shizuaki Masuda, Katsuhiko Suzuki
  • Publication number: 20150041979
    Abstract: A Through Mold Via (TMV) Integrated Circuit (IC) package is provided as a bottom IC package for a TMV Package on Package (POP) configuration. The TMV IC package has an overmold top portion having a substantially flat surface and spacer or standoff features extending upward from the flat surface. The spacer or standoff features are configured to abut the bottom surface of the top POP package during softer reflow in order to maintain a gap of predetermined height between the top and bottom IC packages.
    Type: Application
    Filed: December 2, 2013
    Publication date: February 12, 2015
    Applicant: MOTOROLA MOBILITY LLC
    Inventor: Vahid Goudarzi
  • Publication number: 20150041987
    Abstract: Embodiments of the present disclosure include a semiconductor device, a package and methods of forming a semiconductor device and a package. An embodiment is a semiconductor device including a molding material over a first substrate with a first opening having a first width in the molding material. The semiconductor device further includes a second opening having a second width in the molding material with the second width being greater than the first width. A first connector is in the first opening and a second connector is in the second opening.
    Type: Application
    Filed: August 7, 2013
    Publication date: February 12, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Chih Yew, Wen-Yi Lin, Fu-Jen Li, Po-Yao Lin, Kuo-Chuan Liu
  • Publication number: 20150035141
    Abstract: A semiconductor die package includes a semiconductor die, a film for improving die warpage bonded to a first face of the semiconductor die, a plurality of electrically conductive bumps formed on a second face of the semiconductor die, a substrate onto which the electrically conductive bumps of the second face of the semiconductor die are bonded to electrically connect the semiconductor die and the substrate, and a mold compound applied these components to form an exposed surface of the semiconductor die package that is coplanar with an exposed surface of the film.
    Type: Application
    Filed: July 30, 2014
    Publication date: February 5, 2015
    Inventors: Jin Seong Kim, Byong Woo Cho, Cha Gyu Song
  • Publication number: 20150035132
    Abstract: In a method for manufacturing a semiconductor device according to the present invention, as shown in FIG. 2(A), a case (2) including a first terminal (1) is placed on a working table (3) with an opening (30) formed at the bottom of the case (2). Subsequently, as shown in FIG. 2(B), a plurality of packages (6,6,6) including second terminals (4) are placed on the working table (3) through the opening (30) of the case (2), forming a clearance (31) between the first terminal (1) and the second terminal (4). As shown in FIG. 2(C), a bonding material (7) is disposed in the clearance (31) so as to electrically connect the first terminal (1) and the second terminal (4). Thus, the exposed surfaces of the packages (6,6,6) in the opening (30) of the case (2) are aligned at the same height, thereby reducing variations in thermal resistance among the packages (6,6,6).
    Type: Application
    Filed: March 6, 2013
    Publication date: February 5, 2015
    Inventors: Zyunya Tanaka, Masanori Minamio
  • Patent number: 8945994
    Abstract: An electronic chip package comprising at least one chip bonded to a routing layer of an interposer comprising a routing layer and a via post layer that is surrounded by a dielectric material comprising glass fibers in a polymer matrix, wherein the electronic chip package further comprises a second layer of a dielectric material encapsulating the at least one chip, the routing layer and the wires, and methods of fabricating such electronic chip packages.
    Type: Grant
    Filed: September 15, 2014
    Date of Patent: February 3, 2015
    Assignee: Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd.
    Inventors: Dror Hurwitz, Shih-Fu Alex Huang
  • Patent number: 8946072
    Abstract: Mechanisms of forming a package on package (PoP) package by using an interposer and an no-reflow underfill (NUF) layer are provided. The interposer frame improves the form factor of the package, enables the reduction in the pitch of the bonding structures. The NUF layer enables a semiconductor die and an interposer frame be bonded to a substrate by utilizing the heat on the connectors of the semiconductor die and on the connectors of the interposer frame for bonding. The heat provided by the semiconductor die and the interposer frame also transforms the NUF layer into an underfill. PoP structures formed by using the interposer frame and the NUF layer improve yield and have better reliability performance.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Jiun Yi Wu
  • Patent number: 8946885
    Abstract: A semiconductor arrangement includes a ceramic mount and at least one semiconductor component fixed-to the ceramic mount. The ceramic mount includes a first section, and the first section is electrically conductive.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: February 3, 2015
    Assignee: Robert Bosch GmbH
    Inventor: Andreas Krauss
  • Patent number: 8945993
    Abstract: A method of manufacturing a ball grid array substrate includes: forming a first circuit pattern and a second circuit pattern on a first metal carrier and a second metal carrier, respectively; stacking a first insulating layer and a second insulating layer with a separable material interposed therebetween, wherein each of the first and second insulating layers has first and second surfaces opposing each other, and the first surface contacts the separable material; burying the first and second circuit patterns in the second surfaces of the first and second insulating layers, respectively; removing the first and second metal carriers; removing the separable material to separate the first and second insulating layers from each other; and forming an opening in each of the first and second insulating layers to connect the first and second surfaces with each other. The method may also be part of a process for manufacturing a semiconductor package.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: February 3, 2015
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Jung Hyun Park, Nam Keun Oh, Sang Duck Kim, Jong Gyu Choi, Young Ji Kim, Ji Eun Kim, Myung Sam Kang
  • Publication number: 20150031172
    Abstract: A method is described for interconnecting first, 27, and second, 22, components on a substrate, 21. The method comprises attaching said first component, 27, to said substrate, attaching said second component, 22, to said substrate, 21, said first and second components being positioned relative to each other on said substrate to form a gap, 31, therebetween. The method further comprises the step of depositing a layer, 24, of electrically insulating material in said gap, and electrically connecting said first component, 27, with said second component, 22, by depositing, upon said electrically insulating layer, a layer of electrically conducting material, 26, which is in contact with and extends from a surface of said first electronic component, across said gap, 31, and said layer of electrically insulating material, 24, and to a surface of said second electronic component, 22. The method is characterized in that a plasma deposition process is used to deposit at least one of said layers of material.
    Type: Application
    Filed: May 16, 2014
    Publication date: January 29, 2015
    Inventors: Rainer J. Seidel, Thomas E. Gerhaeusser
  • Publication number: 20150031173
    Abstract: A method comprises forming semiconductor flip chip interconnects having electrical connecting pads and electrically conductive posts terminating in distal ends operatively associated with the pads. We solder bump the distal ends by injection molding, mask the posts on the pads with a mask having a plurality of through hole reservoirs and align the reservoirs in the mask to be substantially concentric with the distal ends. Injecting liquid solder into the reservoirs and allowing it to cool provides solidified solder on the distal ends, which after mask removal produces a solder bumped substrate which we position on a wafer to leave a gap between the wafer and the substrate. The wafer has electrically conductive sites on the surface for soldering to the posts. Abutting the sites and the solder bumped posts followed by heating joins the wafer and substrate. The gap is optionally filled with a material comprising an underfill.
    Type: Application
    Filed: September 8, 2014
    Publication date: January 29, 2015
    Applicant: International Bushiness Machines Corporation
    Inventors: Jae-Woong NAH, Da-Yuan Shih
  • Patent number: 8941208
    Abstract: A surface mount packaging structure that yields improved thermo-mechanical reliability and more robust second-level package interconnections is disclosed. The surface mount packaging structure includes a sub-module having a dielectric layer, semiconductor devices attached to the dielectric layer, a first level metal interconnect structure electrically coupled to the semiconductor devices, and a second level I/O connection electrically coupled to the first level interconnect and formed on the dielectric layer on a side opposite the semiconductor devices, with the second level I/O connection configured to connect the sub-module to an external circuit. The semiconductor devices of the sub-module are attached to the first surface of a multi-layer substrate structure, with a dielectric material positioned between the dielectric layer and the multi-layer substrate structure to fill in gaps in the surface-mount structure and provide additional structural integrity thereto.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: January 27, 2015
    Assignee: General Electric Company
    Inventors: Shakti Singh Chauhan, Arun Virupaksha Gowda, Paul Alan McConnelee
  • Patent number: 8940586
    Abstract: The present disclosure relates to a bump processing method and/or resulting MEMS-CMOS structure, in which one or more anti-stiction bumps are formed within a substrate prior to the formation of a cavity in which the one or more anti-stiction bumps reside. By forming the one or more anti-stiction bumps prior to a cavity, the sidewall angle and the top critical dimension (i.e., surface area) of the one or more anti-stiction bumps are reduced. The reduction in sidewall angle and critical dimension reduces stiction between a substrate and a moveable part of a MEMS device. By reducing the size of the anti-stiction bumps through a processing sequence change, lithographic problems such as reduction of the lithographic processing window and bump photoresist collapse are avoided.
    Type: Grant
    Filed: November 23, 2011
    Date of Patent: January 27, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chris Kuo, Lee-Chuan Tseng