Electrical Characteristic Sensed Patents (Class 438/17)
  • Patent number: 11812543
    Abstract: Provided is an electromagnetic wave shielding film capable of reducing a space formed between the electromagnetic wave shielding film and an electronic component on a wiring substrate and to increase an electromagnetic wave shielding effect. An electromagnetic wave shielding film 1 includes a conductive layer 3 having stretchability and a property of hardly returning to an original state thereof when once stretched, and an adhesion layer 4 formed on one surface of the conductive layer 3 and having insulating properties. The conductive layer 3 is made of a conductive composition, including a resin having stretchability and a property of hardly returning to an original state thereof when once stretched and a conductive filler filled with the resin. The resin has a tensile permanent set of 2.5% or more and 90% or less.
    Type: Grant
    Filed: February 21, 2017
    Date of Patent: November 7, 2023
    Assignee: TATSUTA ELECTRIC WIRE & CABLE CO., LTD.
    Inventors: Akio Takahashi, Tsunehiko Terada
  • Patent number: 11737322
    Abstract: A display apparatus includes a substrate; a first circuit unit located on the substrate and including a first thin-film transistor (TFT) and a first storage capacitor; a first dummy circuit unit on the substrate, including a first dummy TFT and a first dummy storage capacitor, and in the same layer as the first circuit unit; and a first dummy light-emitting unit on the first dummy circuit unit, connected to the first circuit unit, and configured to be driven by the first circuit unit, wherein the first dummy light-emitting unit overlaps the first dummy circuit unit.
    Type: Grant
    Filed: November 9, 2020
    Date of Patent: August 22, 2023
    Assignee: Samsung Display Co., Ltd.
    Inventors: Ilgoo Youn, Seungwoo Sung, Jieun Lee, Jintae Jeong, Minhee Choi
  • Patent number: 11668734
    Abstract: The disclosed technology generally relates to electrical overstress protection devices, and more particularly to electrical overstress monitoring devices for detecting electrical overstress events in semiconductor devices. In one aspect, an electrical overstress monitor and/or protection device includes a two different conductive structures configured to electrically arc in response to an EOS event and a sensing circuit configured to detect a change in a physical property of the two conductive structures caused by the EOS event. The two conductive structures have facing surfaces that have different shapes.
    Type: Grant
    Filed: September 3, 2021
    Date of Patent: June 6, 2023
    Assignee: Analog Devices International Unlimited Company
    Inventors: David J. Clarke, Stephen Denis Heffernan, Nijun Wei, Alan J. O'Donnell, Patrick Martin McGuinness, Shaun Bradley, Edward John Coyne, David Aherne, David M. Boland
  • Patent number: 11444184
    Abstract: A method is disclosed, including positioning a lead wire of a gate chip at a distance of less than 10 nm from a semiconductor heterostructure. The heterostructure includes a surface layer and a subsurface layer. The method also includes inducing an electrostatic potential in the subsurface layer by applying a voltage to the lead wire. The method also includes loading a charge carrier into the subsurface layer. The method also includes detecting the charge carrier in the subsurface layer of the semiconductor heterostructure by emitting a radio-frequency pulse using a resonator coupled to the at least one lead wire of the gate chip, detecting a reflected pulse of the emitted radio-frequency pulse, and determining a phase shift of the reflected pulse relative to the emitted radio-frequency pulse. The method also includes characterizing the quantum dot by measuring valley splitting of the quantum dot.
    Type: Grant
    Filed: August 27, 2019
    Date of Patent: September 13, 2022
    Assignee: U.S. Government as represented by the Director, National Security Agency
    Inventors: Charles George Tahan, Rousko Todorov Hristov, Yun-Pil Shim, Hilary Hurst
  • Patent number: 11408913
    Abstract: A method of testing semiconductor devices includes placing a plurality of semiconductor devices in a carrier assembly and performing at least one testing operation on the plurality of semiconductor devices while they remain inside the carrier assembly.
    Type: Grant
    Filed: October 2, 2018
    Date of Patent: August 9, 2022
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Dale Lee Anderson, Artur Darbinyan
  • Patent number: 11327095
    Abstract: A probe card, a system for manufacturing a semiconductor device, and a method of manufacturing a semiconductor device are provided. A probe card includes a first probe configured to contact a first ground pad of a device under test, a reference resistor including a first terminal and a second terminal and connected to the first probe, and a second probe configured to contact a second ground pad of the device under test, wherein the second probe is further configured to be connected to a ground node for applying a reference potential, and the first terminal of the reference resistor is configured to be connected to the first probe and the second terminal of the reference resistor is configured to receive an input potential.
    Type: Grant
    Filed: May 28, 2020
    Date of Patent: May 10, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sungho Joo, Gyeongwon Park, Gyuyeol Kim, Ikbum Lim
  • Patent number: 11304349
    Abstract: A method for repairing a semiconductor chip and a device for repairing a semiconductor chip is provided, and the method for repairing a semiconductor chip includes: providing a plurality of light-emitting units, and at least one of the light-emitting units being a damaged light-emitting unit; next, removing the damaged light-emitting unit to form an unoccupied position; then, using a pick and place module to obtain a good light-emitting unit from a carrier board; then, a volatile adhesive material is formed on the bottom of the good light-emitting unit; next, the volatile adhesive material is used to adhere the good light-emitting unit to the unoccupied position; finally, the good light-emitting unit is heated so that the good light-emitting unit is fixed onto the unoccupied position.
    Type: Grant
    Filed: August 8, 2019
    Date of Patent: April 12, 2022
    Assignee: ASTI GLOBAL INC., TAIWAN
    Inventor: Chien-Shou Liao
  • Patent number: 11280825
    Abstract: Systems, devices, and methods for characterizing semiconductor devices and thin film materials. The device consists of multiple probe tips that are integrated on a single substrate. The layout of the probe tips could be designed to match specific patterns on a CMOS chip or sample. The device provides for detailed studies of transport mechanisms in thin film materials and semiconductor devices.
    Type: Grant
    Filed: November 12, 2020
    Date of Patent: March 22, 2022
    Assignee: Xallent LLC
    Inventor: Kwame Amponsah
  • Patent number: 11251226
    Abstract: An apparatus for positioning micro-devices on a substrate includes one or more supports to hold a donor substrate and a destination substrate, an adhesive dispenser to deliver adhesive on micro-devices on the donor substrate, a transfer device including a transfer surface to transfer the micro-devices from the donor substrate to the destination substrate, and a controller. The controller is configured to operate the adhesive dispenser to selectively dispense the adhesive onto selected micro-devices on the donor substrate based on a desired spacing of the selected micro-devices on the destination substrate. The controller is configured to operate the transfer device such that the transfer surface engages the adhesive on the donor substrate to cause the selected micro-devices to adhere to the transfer surface and the transfer surface then transfers the selected micro-devices from the donor substrate to the destination substrate.
    Type: Grant
    Filed: June 22, 2020
    Date of Patent: February 15, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Mingwei Zhu, Sivapackia Ganapathiappan, Boyi Fu, Hou T. Ng, Nag B. Patibandla
  • Patent number: 11243244
    Abstract: A method of testing a semiconductor device having a DC line configured to carry either a DC signal or a DC voltage and a circuit electrically connected to the DC line includes: during a first part of a test sequence, enabling a switch device so as to electrically connect a capacitor to the DC line via the switch device and applying a test signal to the circuit while the capacitor is electrically connected to the DC line; and during a second part of the test sequence, disabling the switch device so as to electrically disconnect the capacitor from the DC line via the switch device, injecting an AC signal onto the DC line after the capacitor is electrically disconnected from the DC line, and measuring a response of the circuit to the AC signal.
    Type: Grant
    Filed: October 10, 2019
    Date of Patent: February 8, 2022
    Assignee: Infineon Technologies AG
    Inventors: Stefano di Martino, Philipp Franz Freidl, Daniel Knauder
  • Patent number: 11232727
    Abstract: A substrate, a panel, a detection device and an alignment detection method are provided. The substrate includes first signal connection pins arranged in parallel side by side and at least one first alignment detection pin, wherein the at least one first alignment detection pin is located on at least one side of the first signal connection pins in an arrangement direction of the first signal connection pins, and arranged in parallel with the first signal connection pins.
    Type: Grant
    Filed: October 31, 2018
    Date of Patent: January 25, 2022
    Assignees: ORDOS YUANSHENG OPTOELECTRONICS CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Pucha Zhao, Guoqing Zhang, Xiaopeng Bai, Weifeng Wang, Yanbin Dang, Zhixin Guo, Xingliang Wang, Haotian Chen
  • Patent number: 11164642
    Abstract: A method for radiation hardening flash memory performs accelerated aging on the flash memory by program-erase (PE) cycling the flash memory. Such accelerated aging induces trap states in the tunnel oxide layer of the flash memory, which results in improved ionizing radiation tolerance. The number of cycles used to harden a given memory cell is optimally determined in order to limit effects of the radiation hardening on the reliability of the cell.
    Type: Grant
    Filed: February 11, 2019
    Date of Patent: November 2, 2021
    Assignee: Board of Trustees of the University of Alabama, For and on behalf of the University of Alabama in Huntsville
    Inventors: Biswajit Ray, Preeti Kumari
  • Patent number: 11023638
    Abstract: The optimization of circuit parameters of variational quantum algorithms is a challenge for the practical deployment of near-term quantum computing algorithms. Embodiments relate to a hybrid quantum-classical optimization methods. In a first stage, analytical tomography fittings are performed for a local cluster of circuit parameters via sampling of the observable objective function at quadrature points in the circuit parameters. Optimization may be used to determine the optimal circuit parameters within the cluster, with the other circuit parameters frozen. In a second stage, different clusters of circuit parameters are then optimized in “Jacobi sweeps,” leading to a monotonically convergent fixed-point procedure. In a third stage, the iterative history of the fixed-point Jacobi procedure may be used to accelerate the convergence by applying Anderson acceleration/Pulay's direct inversion of the iterative subspace (DIIS).
    Type: Grant
    Filed: April 6, 2020
    Date of Patent: June 1, 2021
    Assignee: QC Ware Corp.
    Inventors: Robert M. Parrish, Joseph T. Iosue, Asier Ozaeta Rodriguez, Peter L. McMahon
  • Patent number: 11024521
    Abstract: Dummy running is carried out which performs preheating treatment using halogen lamps and flash heating treatment using flash lamps on a dummy wafer to control the temperature of in-chamber structures including a susceptor and the like. In this process, a wear-and-tear value is calculated by adding up the amounts of electric power inputted to the halogen lamps or the like each time the preheating treatment or the flash heating treatment is performed. The wear-and-tear value is an indicator indicating the degree of deterioration of the dummy wafer. If the wear-and-tear value of the dummy wafer is not less than a predetermined threshold value, an alarm is issued. This allows an operator of a heat treatment apparatus to recognize that the deterioration of the dummy wafer reaches a limit value and to reliably grasp the dummy wafer suffering advanced deterioration.
    Type: Grant
    Filed: July 24, 2019
    Date of Patent: June 1, 2021
    Assignee: SCREEN HOLDINGS CO., LTD.
    Inventors: Tomohiro Ueno, Kazuhiko Fuse, Mao Omori
  • Patent number: 10964641
    Abstract: A semiconductor device and method for forming the semiconductor device is provided. The semiconductor device includes an integrated circuit having through vias adjacent to the integrated circuit die, wherein a molding compound is interposed between the integrated circuit die and the through vias. The through vias have a projection extending through a patterned layer, and the through vias may be offset from a surface of the patterned layer. The recess may be formed by selectively removing a seed layer used to form the through vias.
    Type: Grant
    Filed: June 17, 2019
    Date of Patent: March 30, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chung-Shi Liu, Chih-Wei Lin, Ming-Da Cheng
  • Patent number: 10944928
    Abstract: An apparatus comprising a processor and memory including computer program code, the memory and computer program code configured to, with the processor, enable the apparatus at least to: based on a predetermined dark current component for each photodetector in an array of photodetectors, identify a plurality of subsets of photodetectors from the array for signal readout and amplification by a readout circuit, each photodetector of the array configured to provide a photodetector output signal comprising the dark current component and an image component on exposure to incident electromagnetic radiation from a target scene, wherein each subset of photodetectors is identified such that the combined dark current component of the constituent photodetector output signals for each subset is substantially the same; and provide the identified plurality of subsets for use in signal readout and amplification by the readout circuit.
    Type: Grant
    Filed: May 24, 2017
    Date of Patent: March 9, 2021
    Assignee: Nokia Technologies Oy
    Inventors: Mark Allen, Pekka Korpinen, Michael Astley, Sami Seppo Kallioinen, Aqib Ejaz
  • Patent number: 10936000
    Abstract: In an example, a circuit includes a first power switch device coupled between a voltage input and an output terminal, the first power switch device having a control input. A voltage divider circuit includes a first resistor and a second resistor. The first resistor is coupled between the voltage input and a sense node between the first resistor and the second resistor. The second resistor has a first terminal coupled to the sense node and a second terminal. A second switch device is coupled between the second terminal of the second resistor and an electrical ground terminal. A voltage clamp is coupled between the sense node and the electrical ground terminal.
    Type: Grant
    Filed: August 27, 2019
    Date of Patent: March 2, 2021
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Michael Ryan Hanschke, Filippo Marino, Sunglyong Kim, Tobin Daniel Hagan, Richard Lee Valley, Bharath Balaji Kannan, Salvatore Giombanco, Seetharaman Sridhar
  • Patent number: 10864701
    Abstract: A stretchable conductive film for textiles 1 includes a stretchable conductive layer 3, and a hot-melt adhesive agent layer 4 formed on one surface of the stretchable conductive layer 3. The stretchable conductive film for textiles 1 may also include a first peel film 2 formed on a surface of the stretchable conductive layer 3 on the opposite side from the hot-melt adhesive agent layer 4 side, and a second peel film 5 formed on a surface of the hot-melt adhesive agent layer 4 on the opposite side from the stretchable conductive layer 3 side.
    Type: Grant
    Filed: October 19, 2016
    Date of Patent: December 15, 2020
    Assignee: TATSUTA ELECTRIC WIRE & CABLE CO., LTD.
    Inventors: Akio Takahashi, Tsunehiko Terada
  • Patent number: 10833142
    Abstract: Provided is an electroluminescence display device. The electroluminescence display device includes a display area, a non-display area positioned the outer periphery of the display area, a thin film transistor in the display area, and a power supply line in the non-display area and connected to the thin film transistor. The power supply line includes a first part and a second part separated from each other, and a third part connected to the first part and the second part, and also includes a first layer formed along an edge portion of the power supply line and covering the edge portion of the power supply line.
    Type: Grant
    Filed: October 20, 2017
    Date of Patent: November 10, 2020
    Assignee: LG Display Co., Ltd.
    Inventors: SangIl Shin, DoHyung Kim, SeHwan Na, YoungJu Park
  • Patent number: 10776549
    Abstract: A method for manufacturing a semiconductor device with an improved doping profile is provided. The method includes providing a measuring target including a first region having a plurality of layers, inputting a first input signal into the measuring target and measuring a resulting first output signal, such as a change over time of a first output electric field that is transmitted through or reflected by the first region. Based on a first model including first structural information of a plurality of first modeling layers and information on doping concentrations of each of the plurality of first modeling layers, calculating a second output signal. When a result of comparing the first output signal with the second output signal is smaller than a threshold value, a three-dimensional model of the measuring target may be estimated based on the first model.
    Type: Grant
    Filed: February 4, 2019
    Date of Patent: September 15, 2020
    Assignees: SAMSUNG ELECTRONICS CO., LTD., Industry-Academic Cooperation Foundation, Yonsei University
    Inventors: Dong Chan Suh, Mann-Ho Cho, Woo Bin Song, Kwang Sik Jeong
  • Patent number: 10760177
    Abstract: A plating method for plating a substrate by increasing a current value from a predetermined current value to a first current value is provided. The plating method plates the substrate for a first predetermined period with the first current value when a first current density corresponding to the first current value is lower than a limiting current density. This plating method includes measuring a voltage value applied to the substrate, and when the current value is increased from the predetermined current value to the first current value, determining whether the first current density is equal to or more than the limiting current density or not based on an amount of change in the voltage value.
    Type: Grant
    Filed: May 30, 2019
    Date of Patent: September 1, 2020
    Assignee: EBARA CORPORATION
    Inventors: Yasuyuki Masuda, Masashi Shimoyama
  • Patent number: 10685918
    Abstract: Devices, systems and methods for uniquely identifying integrated circuits are provided. For at least one embodiment, a method for marking a given integrated circuit out of a plurality of integrated circuits, includes the operations of fabricating a plurality of identifier devices onto each integrated circuit of the plurality of integrated circuits; testing each of the plurality of identifier devices to obtain a test result for each identifier device; associating together each test result obtained for each identifier device fabricated onto each given integrated circuit to form an analog identifier for the given integrated circuit; and storing in a database each analog identifier for each of the plurality of integrated circuits. For at least one embodiment, a method for identifying an integrated circuit previously marked in an accordance with the present disclosure is provided. Articles of commerce marked using an embodiment of the present disclosure are also described.
    Type: Grant
    Filed: August 28, 2018
    Date of Patent: June 16, 2020
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Jan Lucie Axel Lettens, Wim Dobbelaere, Bart Arthur Norbert De Leersnyder, Thomas Van Vossel
  • Patent number: 10652033
    Abstract: There is disclosed a method of handling a sensor, comprising the steps of: defining a subset of sensor components of the sensor; challenging said subset under uniform conditions; receiving output signal values from said subset; for each component of the subset, determining the statistical moment of order i of the temporal distribution of the output signal value of said each sensor component; determining one or more outliers sensor components, said outliers sensor components being components whose ith order statistical moment has a difference with the mean value of the spatial distribution of the chosen moment over the subset superior in absolute value to a threshold, the ith order statistical moment of one sensor component being estimated on the temporal distribution associated to this sensor component. Developments describe in particular the use of imaging sensors, key generation, authentication, helper data files and the handling of videos.
    Type: Grant
    Filed: October 16, 2018
    Date of Patent: May 12, 2020
    Assignee: SECURE-IC SAS
    Inventors: Adrien Facon, Sylvain Guilley
  • Patent number: 10605824
    Abstract: For the purpose of shortening the MEMS manufacturing TAT, the MEMS manufacturing method according to the present invention includes a step of extracting the first MEMS with first characteristic in a range approximate to the required characteristic from the plurality of MEMS preliminarily prepared on the main surface of the substrate, and a step of forming a second MEMS having the required characteristic by directly processing the first MEMS.
    Type: Grant
    Filed: March 18, 2016
    Date of Patent: March 31, 2020
    Assignee: Hitachi, Ltd.
    Inventors: Shuntaro Machida, Nobuyuki Sugii, Keiji Watanabe, Daisuke Ryuzaki, Tetsufumi Kawamura, Kazuki Watanabe
  • Patent number: 10563919
    Abstract: An embodiment includes an apparatus for controlling temperature of a substrate, an apparatus for treating a substrate comprising the same, and a method of controlling the same, which may control the temperature of the substrate by each area and not increasing the volume of the apparatus. The substrate temperature control apparatus comprises: a support plate for supporting a substrate; a plurality of heating units placed in different area of the substrate and controlling a temperature of the substrate by each area; a power supply unit for providing a power to control the temperature of the substrate; a switch unit connected between the plurality of heating units and the power supply unit, and obtaining one or more of a transistor device; and a controller for controlling a power which is supplied to each heating units by controlling unit.
    Type: Grant
    Filed: March 20, 2017
    Date of Patent: February 18, 2020
    Assignee: SEMES CO., LTD.
    Inventors: Jung Min Won, Ik-Jin Choi, Hyo Seong Seong, Shin-Woo Nam
  • Patent number: 10546792
    Abstract: Improved methods for manufacturing semiconductor product wafer with the additional use of non-product masks are described. According to certain aspects of the invention, an evaluation wafer is first manufactured by utilizing at least one non-product mask to process one or more layer(s) on the evaluation wafer, and subsequently utilizing at least one unaltered product mask to process an evaluation-region-of-interest on the evaluation wafer. The evaluation-region-of-interest is evaluated by measuring the state of one or more feature(s) in the evaluation-region-of-interest using voltage contrast inspection (VCi). The measurements are then used to identify failures in the evaluation-region-of-terest. In response to identifying a failure in the evaluation-region-of-interest, the manufacturing process is improved by modifying at least one parameter associated with at least one processing step and manufacturing product wafers utilizing the at least one processing step(s) with the at least one modified parameter(s).
    Type: Grant
    Filed: December 18, 2017
    Date of Patent: January 28, 2020
    Assignee: PDF SOLUTIONS, INC.
    Inventors: Yih-Yuh Kelvin Doong, Sheng-Che Lin
  • Patent number: 10535818
    Abstract: A resistance change memory device is provided. The resistance change memory device includes a lower electrode, a tunneling barrier layer disposed on the lower electrode, a resistance switching layer disposed on the tunneling barrier layer, an oxygen vacancy reservoir layer disposed on the resistance switching layer, and an upper electrode disposed on the oxygen vacancy reservoir layer. The oxygen vacancy reservoir layer is electrically conductive.
    Type: Grant
    Filed: March 30, 2018
    Date of Patent: January 14, 2020
    Assignee: SK HYNIX INC.
    Inventor: Tae Jung Ha
  • Patent number: 10529634
    Abstract: Representative implementations of devices and techniques provide a temporary access point (e.g., for testing, programming, etc.) for a targeted interconnect located among multiple finely spaced interconnects on a surface of a microelectronic component. One or more sacrificial layers are disposed on the surface of the microelectronic component, overlaying the multiple interconnects. An insulating layer is disposed between a conductive layer and the surface, and includes a conductive via through the insulating layer that electrically couples the conductive layer to the target interconnect. The sacrificial layers are configured to be removed after the target interconnect has been accessed, without damaging the surface of the microelectronic component.
    Type: Grant
    Filed: December 11, 2017
    Date of Patent: January 7, 2020
    Assignee: Invensas Bonding Technologies, Inc.
    Inventors: Javier A. Delacruz, Paul M. Enquist, Gaius Gillman Fountain, Jr., Ilyas Mohammed
  • Patent number: 10411157
    Abstract: An optoelectronic component includes an optoelectronic semiconductor chip including first and second electrical contacts, a first leadframe section including a first chip contact pad and a first soldering contact pad situated opposite the first chip contact pad, and a second leadframe section including a second chip contact pad and a second soldering contact pad situated opposite the second chip contact pad, wherein the first electrical contact electrically conductively connects to the first chip contact pad and the second electrical contact electrically conductively connects to the second chip contact pad, the first and second leadframe sections are embedded into a housing such that at least parts of the first and second soldering contact pads are accessible at an underside, and a solder stop element is arranged at the underside of the housing, the solder stop element extending between the first soldering contact pad and the second soldering contact pad.
    Type: Grant
    Filed: July 14, 2017
    Date of Patent: September 10, 2019
    Assignee: OSRAM Opto Semiconductors GmbH
    Inventors: Christian Gatzhammer, Martin Brandl, Tobias Gebuhr
  • Patent number: 10411686
    Abstract: A delay cell may include: a first inverter coupled to an input terminal; a second inverter coupled between the first inverter and an output terminal; an additional inverter coupled in parallel to the first inverter; and a delay element suitable for selectively coupling the additional inverter to the input terminal under control of a control signal.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: September 10, 2019
    Assignee: SK hynix Inc.
    Inventor: Jae-Heung Kim
  • Patent number: 10403468
    Abstract: A method of producing an implantation ion energy filter, suitable for processing a power semiconductor device. In one example, the method includes creating a preform having a first structure; providing an energy filter body material; and structuring the energy filter body material by using the preform, thereby establishing an energy filter body having a second structure.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: September 3, 2019
    Assignee: Infineon Technologies AG
    Inventors: Roland Rupp, Andre Brockmeier
  • Patent number: 10361089
    Abstract: A plasma processing method according to an exemplary embodiment includes a process of applying a first plasma processing to a substrate in a chamber, and a process of applying a second plasma processing to the substrate in the chamber. In the process of applying the first plasma processing, a plurality of first heaters in a chuck main body of an electrostatic chuck are driven, and a plurality of second heaters in the chuck main body are driven. In the process of applying the second plasma processing, the driving of at least the plurality of second heaters is stopped.
    Type: Grant
    Filed: May 25, 2018
    Date of Patent: July 23, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kengo Kaneko, Jun Hirose
  • Patent number: 10324569
    Abstract: A touch screen panel and a driving method thereof. The touch screen panel includes a touch pad unit including a plurality of receiver pads and a plurality of transmitter pads combined with the receiver pads, and a touch sensing unit for determining a touch position, based on changes in mutual capacitance between the receiver pads and the transmitter pads. The touch sensing unit determines maximum values for every column by scanning touch sensing signals in the column direction of the touch pad unit, decides whether rows having maximum values for every column are the same, when the rows having the maximum values for every column are not the same, determines a greatest value by comparing all values of the scanned touch sensing signals, and determines touch coordinates through a combination of transmitter and receiver pads corresponding to the position at which the greatest value exists.
    Type: Grant
    Filed: May 12, 2016
    Date of Patent: June 18, 2019
    Assignee: Samsung Display Co., Ltd.
    Inventors: Yun Ho Kim, Ja Seung Ku
  • Patent number: 10090300
    Abstract: A FinFET device and method for fabricating a FinFET device is disclosed. An exemplary method includes providing a semiconductor substrate; forming a first fin structure and a second fin structure over the semiconductor substrate; forming a gate structure over a portion of the first and second fin structures, such that the gate structure traverses the first and second fin structures; epitaxially growing a first semiconductor material on exposed portions of the first and second fin structures, such that the exposed portions of the first and second fin structures are merged together; and epitaxially growing a second semiconductor material over the first semiconductor material.
    Type: Grant
    Filed: October 16, 2015
    Date of Patent: October 2, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jeff J. Xu, Chih-Hao Chang
  • Patent number: 10090179
    Abstract: In an embodiment, the present invention discloses cleaned storage processes and systems for high level cleanliness articles, such as extreme ultraviolet (EUV) reticle carriers. A decontamination chamber can be used to clean the stored workpieces. A purge gas system can be used to prevent contamination of the articles stored within the workpieces. A robot can be used to detect the condition of the storage compartment before delivering the workpiece. A monitor device can be used to monitor the conditions of the stocker.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: October 2, 2018
    Assignee: Brooks Automation, Inc.
    Inventor: Lutz Rebstock
  • Patent number: 10048389
    Abstract: A centroid contact radiation detector system/method providing for low capacitance and noise insensitivity is disclosed. The system incorporates a P-type/N-type bulk germanium volume (PGEV/NGEV) having an internal well cavity void (IWCV). The external NGEV surfaces incorporate an N+/P+ electrode and the surface of the IWCV incorporates a centrally located P+/N+ contact (CPPC). The IWCV surface is constructed and the CPPC is positioned within the IWCV so as to provide uniform symmetric field distribution within the PGEV/NGEV and improved noise immunity. The CPPC may be formed using point, reduced-area, medium-area, large-area, hemispherical, semi-hemispherical, and cylindrical annulus contact constructions. The PGEV/NGEV may be constructed using cylindrical, regular polyhedral, or spherical forms.
    Type: Grant
    Filed: April 19, 2017
    Date of Patent: August 14, 2018
    Assignee: MIRION TECHNOLOGIES (CANBERRA), INC.
    Inventors: James Francis Colaresi, Kenneth Michael Yocum, Aderemi Sikiru Adekola
  • Patent number: 10020226
    Abstract: In certain embodiments, a semiconductor device includes a plurality of semiconductor chips. Each semiconductor chip comprises a semiconductor body having a first side and a second side opposite the first side, a graphite substrate bonded to the second side of the semiconductor body and comprising an opening leaving an area of the second side of the semiconductor body uncovered by the graphite substrate, and a back-side metallization arranged in the opening of the graphite substrate and electrically contacting the area of the second side. The semiconductor device further includes a plurality of separation trenches each separating one of the plurality of semiconductor chips from an adjacent one of the plurality of semiconductor chips.
    Type: Grant
    Filed: July 10, 2017
    Date of Patent: July 10, 2018
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Roland Rupp, Wolfgang Lehnert, Francisco Javier Santos Rodriguez, Hans-Joachim Schulze
  • Patent number: 9941207
    Abstract: A method of making a semiconductor device comprising the steps of providing a first manufacturing line, providing a second manufacturing line, and forming a first redistribution interconnect structure using the first manufacturing line while forming a second redistribution interconnect structure using the second manufacturing line. The method further includes the steps of testing a first unit of the first redistribution interconnect structure to determine a first known good unit (KGU), disposing a known good semiconductor die (KGD) over the first KGU of the first redistribution interconnect structure, and dicing the first KGU and KGD from the first redistribution interconnect structure.
    Type: Grant
    Filed: December 16, 2015
    Date of Patent: April 10, 2018
    Assignee: STATS ChipPAC Pte. Ltd.
    Inventor: Yaojian Lin
  • Patent number: 9890037
    Abstract: For a small sensor produced through a MEMS process, when an electrode pad, wiring, or a shield layer is formed in a final step, it is difficult to nondestructively investigate whether a structure for sensing a physical quantity has been processed satisfactorily. In the present invention, in a physical quantity sensor formed from an MEMS structure, in a structure in which a surface electrode having through wiring is formed on the surface of an electrode substrate and the periphery thereof is insulated, forming a shield layer comprising a metallic material on the surface of the electrode substrate in a planar view and providing a space for internal observation inside the shield layer makes it possible to check for internal defects.
    Type: Grant
    Filed: June 29, 2015
    Date of Patent: February 13, 2018
    Assignee: Hitachi Automotive Systems, Ltd.
    Inventors: Masatoshi Kanamaru, Masahide Hayashi, Masashi Yura, Heewon Jeong
  • Patent number: 9865583
    Abstract: A process for making and using a semiconductor wafer includes instantiating first and second designs of experiments (DOEs), each comprised of at least two fill cells. The fill cells contain structures configured to obtain in-line data via non-contact electrical measurements (“NCEM”). The first DOE contains fill cells configured to enable non-contact (NC) detection of snake opens, and the second DOE contains fill cells configured to enable NC detection of stitch opens. The process may further include obtaining NC measurements from the first and/or second DOE(s) and using such measurements, at least in part, to selectively perform additional processing, metrology or inspection steps on the wafer, and/or on other wafer(s) currently being manufactured.
    Type: Grant
    Filed: June 28, 2017
    Date of Patent: January 9, 2018
    Assignee: PDF Solutions, Inc.
    Inventors: Stephen Lam, Dennis Ciplickas, Tomasz Brozek, Jeremy Cheng, Simone Comensoli, Indranil De, Kelvin Doong, Hans Eisenmann, Timothy Fiscus, Jonathan Haigh, Christopher Hess, John Kibarian, Sherry Lee, Marci Liao, Sheng-Che Lin, Hideki Matsuhashi, Kimon Michaels, Conor O'Sullivan, Markus Rauscher, Vyacheslav Rovner, Andrzej Strojwas, Marcin Strojwas, Carl Taylor, Rakesh Vallishayee, Larg Weiland, Nobuharu Yokoyama
  • Patent number: 9847264
    Abstract: Improved methods for manufacturing semiconductor product wafer with the additional use of non-product masks are described. According to certain aspects of the invention, an evaluation wafer is first manufactured by utilizing at least one non-product mask to process one or more layer(s) on the evaluation wafer, and subsequently utilizing at least one unaltered product mask to process an evaluation-region-of-interest on the evaluation wafer. The evaluation-region-of-interest is evaluated by measuring the state of one or more feature(s) in the evaluation-region-of-interest using voltage contrast inspection (VCi). The measurements are then used to identify failures in the evaluation-region-of-interest.
    Type: Grant
    Filed: November 19, 2015
    Date of Patent: December 19, 2017
    Assignee: PDF Solutions, Inc.
    Inventors: Yih-Yuh (Kelvin) Doong, Sheng-Che Lin
  • Patent number: 9793361
    Abstract: A thin film transistor, an array substrate and a display device are disclosed, the thin film transistor comprises a gate electrode, an active layer located on the gate electrode, and a source electrode and a drain electrode respectively located at opposite sides of the active layer and both partially overlapped with the active layer; the active layer includes at least one first structure part and at least one second structure part, a material for the first structure part is semiconductor, and a material for the second structure part is predetermined conductor, and the predetermined conductor has better conductivity than the conductivity of the conducted semiconductor, and in response to that a turn-on voltage is applied to the gate electrode, a conductive passage located between the source electrode and the drain electrode includes the first structure part and the second structure part.
    Type: Grant
    Filed: December 17, 2014
    Date of Patent: October 17, 2017
    Assignee: BOE Technology Group Co., Ltd.
    Inventors: Qinghui Zeng, Zhuo Zhang, Seiji Fujino
  • Patent number: 9786591
    Abstract: A device includes a metal pad and a passivation layer having a portion overlapping the metal pad. A capacitor includes a bottom capacitor electrode underlying the passivation layer, wherein the bottom capacitor includes the metal pad. The capacitor further includes a top capacitor electrode over the portion of the passivation layer; and a capacitor insulator including the portion of the passivation layer.
    Type: Grant
    Filed: October 25, 2016
    Date of Patent: October 10, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hao-Yi Tsai, Hsien-Wei Chen, Hung-Yi Kuo, Tung-Liang Shao, Ying-Ju Chen, Tsung-Yuan Yu, Jie Chen
  • Patent number: 9704750
    Abstract: A method of forming a semiconductor device and a semiconductor device are provided. The method includes providing a wafer stack including a carrier wafer comprising graphite and a device wafer comprising a wide band-gap semiconductor material and having a first side and a second side opposite the first side, the second side being attached to the carrier wafer, defining device regions of the wafer stack, partly removing the carrier wafer so that openings are formed in the carrier wafer arranged within respective device regions and that the device wafer is supported by a residual of the carrier wafer; and further processing the device wafer while the device wafer remains supported by the residual of the carrier wafer.
    Type: Grant
    Filed: July 29, 2016
    Date of Patent: July 11, 2017
    Assignee: Infineon Technologies AG
    Inventors: Roland Rupp, Wolfgang Lehnert, Francisco Javier Santos Rodriguez, Hans-Joachim Schulze
  • Patent number: 9613874
    Abstract: Methods for evaluating semiconductor device structures are provided. In one example, a method includes forming a support layer on a first side of a lamellar sample portion of the semiconductor device structure. The lamellar sample portion has a second side opposite the first side, a target analysis area on or proximate the first side, and a first thickness defined from the first side to the second side. The second side is milled to form a reduced thickness lamellar-supported sample portion that has a milled second side opposite the first side. The support layer is removed from the reduced thickness lamellar-supported sample portion to form a reduced thickness lamellar sample portion having a second thickness that is defined from the first side to the milled second side and that is less than the first thickness. The target analysis area of the reduced thickness lamellar sample portion is evaluated.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: April 4, 2017
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Jie Zhu, Binghai Liu, Eddie Er, Si Ping Zhao, Jeffrey Lam
  • Patent number: 9484892
    Abstract: An integrated circuit compensates for circuit aging by measuring the aging with an aging sensor and controlling a supply voltage based on the measured aging. The operating environment for the aging sensor can be set to reduce impacts of non-aging effects on the measured aging. For example, the operating environment can use a temperature inversion voltage. An initial aging measurement value which is the difference between an initial aged measurement and an initial unaged measurement can be stored on the integrated circuit. A core power reduction controller can use the measured aging and the stored initial aging measurement value to update a performance-sensor target value and then perform adaptive voltage scaling using the using the updated performance-sensor target value.
    Type: Grant
    Filed: September 10, 2015
    Date of Patent: November 1, 2016
    Assignee: QUALCOMM Incorporated
    Inventors: Mohammad Reza Kakoee, Shih-Hsin Jason Hu, Min Chen, Jasmin Smaila Ibrahimovic, Carlos Auyon, Sorin Adrian Dobre, Navid Toosizadeh, Nan Chen, Mohamed Waleed Allam
  • Patent number: 9478237
    Abstract: A data storage device may be tested during or after manufacture by a testing device that may have at least a work piece with at least one contact pad concurrently contacting bottom and sidewall surfaces of a probe tip with a centering feature of the at least one contact pad.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: October 25, 2016
    Assignee: Seagate Technology LLC
    Inventors: Leping Li, Jeffrey Robert O'Konski, Saravuth Keo, Pramit P. Parikh
  • Patent number: 9443855
    Abstract: A method for forming a semiconductor device comprising forming a semiconductor fin on a substrate, forming a first sacrificial gate stack over a first channel region of the fin and forming a second sacrificial gate stack over a second channel region of the fin, forming spacers adjacent to the first sacrificial gate stack and the second sacrificial gate stack, depositing a first liner layer on the spacers, the first sacrificial gate stack and the second sacrificial gate stack, depositing a first sacrificial layer on the first liner layer, removing a portion of the first sacrificial layer over the first gate stack to expose a portion of the first liner layer on the first sacrificial gate stack, and growing a first semiconductor material on exposed portions of the fin to form a first source/drain region adjacent to the first gate sacrificial gate stack.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: September 13, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Thamarai Selvi Devarajan, Sanjay C. Mehta, Eric R. Miller, Soon-Cheon Seo
  • Patent number: 9417264
    Abstract: Provided are a current application device capable of improving the electrical contact between projections of a contact section and a surface electrode when applying a test current to a semiconductor element, and a method of manufacturing a semiconductor element properly tested by using the current application device. The current application device includes a contact section that has a plurality of projections, which are brought into contact with a surface electrode of a semiconductor element to apply a test current, and a pressing section that presses the contact section against the semiconductor element such that the projections penetrate a film to come in contact with the surface electrode. The contact section has a plurality of the projections on a plane that has been formed in a curved shape, and the curved-shaped plane is deformed into a planar shape by being pressed by the pressing section.
    Type: Grant
    Filed: January 5, 2015
    Date of Patent: August 16, 2016
    Assignee: HONDA MOTOR CO., LTD.
    Inventors: Shigeto Akahori, Hitoshi Saito, Hiroyuki Yamagishi, Shinyu Hirayama, Satoshi Hasegawa, Yoko Yamaji, Koichiro Sato, Machie Saitou
  • Patent number: 9383608
    Abstract: An array substrate of an LCD includes a substrate, a first wiring layer, a semiconductor film, an insulating layer, a second wiring layer, a passivation layer, a conductive film, and a spacer. The first wiring layer is patterned to a gate line, a gate electrode, and a first laminating layer. The semiconductor film is patterned to a channel layer and a second laminating layer. The second wiring layer is patterned to a source line, a source electrode, a drain electrode, and a third laminating layer. The conductive film is patterned to a pixel electrode and a fourth laminating layer. The spacer is a laminating structure at least includes the first, second, third, fourth laminating layers. A portion of insulating layer overlaps with the first laminating layer, and a portion of passivation layer overlaps with the third laminating layer.
    Type: Grant
    Filed: July 21, 2014
    Date of Patent: July 5, 2016
    Assignee: Century Technology (Shenzhen) Corporation Limited
    Inventors: Ming-Tsung Wang, Kuo-Chieh Chi, Qi Xu, Dan Chen