Complementary Bipolar Transistors Patents (Class 438/313)
  • Patent number: 9112021
    Abstract: A bipolar transistor structure includes an epitaxial layer on a semiconductor substrate, a bipolar transistor device formed in the epitaxial layer and a trench structure formed in the epitaxial layer adjacent at least two opposing lateral sides of the bipolar transistor device. The trench structure includes a field plate spaced apart from the epitaxial layer by an insulating material. The bipolar transistor structure further includes a base contact connected to a base of the bipolar transistor device, an emitter contact connected to an emitter of the bipolar transistor device and isolated from the base contact and an electrical connection between the emitter contact and the field plate.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: August 18, 2015
    Assignee: Infineon Technologies Austria AG
    Inventors: Christoph Kadow, Thorsten Meyer, Norbert Krischke
  • Patent number: 9070617
    Abstract: Embodiments of this invention provide a method to fabricate an electrical contact. The method includes providing a substrate of a compound Group III-V semiconductor material having at least one electrically conducting doped region adjacent to a surface of the substrate. The method further includes fabricating the electrical contact to the at least one electrically conducting doped region by depositing a single crystal layer of germanium over the surface of the substrate so as to at least partially overlie the at least one electrically conducting doped region, converting the single crystal layer of germanium into a layer of amorphous germanium by implanting a dopant, forming a metal layer over exposed surfaces of the amorphous germanium layer, and performing a metal-induced crystallization (MIC) process on the amorphous germanium layer having the overlying metal layer to convert the amorphous germanium layer to a crystalline germanium layer and to activate the implanted dopant.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: June 30, 2015
    Assignee: International Business Machines Corporation
    Inventors: Jeehwan Kim, Jin-Hong Park, Devendra Sadana, Kuen-Ting Shiu
  • Patent number: 9059196
    Abstract: Device structures, design structures, and fabrication methods for a bipolar junction transistor. A first layer comprised of a first semiconductor material and a second layer comprised of a second semiconductor material are disposed on a substrate containing a first terminal of the bipolar junction transistor. The second layer is disposed on the first layer and a patterned etch mask is formed on the second layer. A trench extends through the pattern hardmask layer, the first layer, and the second layer and into the substrate. The trench defines a section of the first layer stacked with a section of the second layer. A selective etching process is used to narrow the section of the second layer relative to the section of the first layer to define a second terminal and to widen a portion of the trench in the substrate to undercut the section of the first layer.
    Type: Grant
    Filed: November 4, 2013
    Date of Patent: June 16, 2015
    Assignee: International Business Machines Corporation
    Inventors: John J. Benoit, James R. Elliot, Peter B. Gray, Alvin J. Joseph, Qizhi Liu, Christa R. Willets
  • Patent number: 8932931
    Abstract: Aspects of the invention provide a method of forming a bipolar junction transistor. The method includes: providing a semiconductor substrate including a uniform silicon nitride layer over an emitter pedestal, and a base layer below the emitter pedestal; applying a photomask at a first end and a second end of a base region; and performing a silicon nitride etch with the photomask to simultaneously form silicon nitride spacers adjacent to the emitter pedestal and exposing the base region of the bipolar junction transistor. The silicon nitride etch may be an end-pointed etch.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: January 13, 2015
    Assignee: International Business Machines Corporation
    Inventors: Margaret A. Faucher, Paula M. Fisher, Thomas H. Gabert, Joseph P. Hasselbach, Qizhi Liu, Glenn C. MacDougall
  • Patent number: 8871599
    Abstract: Disclosed is an integrated circuit and a method of manufacturing an integrated circuit comprising a bipolar transistor, the method comprising providing a substrate comprising a pair of isolation regions separated by an active region comprising a collector; forming a base layer stack over said substrate; forming a migration layer having a first migration temperature and an etch stop layer; forming a base contact layer having a second migration temperature; etching an emitter window in the base contact layer, thereby forming cavities extending from the emitter window; and exposing the resultant structure to the first migration temperature in a hydrogen atmosphere, thereby filling the cavities with the migration layer material.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: October 28, 2014
    Assignee: NXP, B.V.
    Inventors: Johannes Josephus Theodorus Marinus Donkers, Petrus Hubertus Cornelis Magnee, Blandine Duriez, Evelyne Gridelet, Hans Mertens, Tony Vanhoucke
  • Patent number: 8835322
    Abstract: The invention discloses a method for reducing a minimum line width in a spacer-defined double patterning process of the present invention. In the method, the silicon nitride spacers can be converted into trenches in the interlayer dielectric layer by using a silicon dioxide film as a mask and by means of a chemically mechanical polishing process and an etching process, so that the minimum line width of the trenches can be determined by the width of the silicon nitride spacers, and thus a smaller line width can be achieved and the process can be simple and easy to control.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: September 16, 2014
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventor: Liujiang Yu
  • Patent number: 8716096
    Abstract: A self-aligned bipolar transistor and method of fabricating the same are disclosed. In an embodiment, a substrate and an intrinsic base are provided, followed by a first oxide layer, and an extrinsic base over the first oxide layer. A first opening is formed, exposing a portion of a surface of the extrinsic base. Sidewall spacers are formed in the first opening, and a self-aligned oxide mask is selectively formed on the exposed surface of the extrinsic base. The spacers are removed, and using the self-aligned oxide mask, the exposed extrinsic base and the first oxide layer are etched to expose the intrinsic base layer, forming a first and a second slot. A silicon layer stripe is selectively grown on the exposed intrinsic and/or extrinsic base layers in each of the first and second slots, substantially filling the respective slot.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: May 6, 2014
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, David L. Harame, Russell T. Herrin, Qizhi Liu
  • Patent number: 8703570
    Abstract: A method of fabricating a substrate includes forming spaced first features and spaced second features over a substrate. The first and second features alternate with one another and are spaced relative one another. Width of the spaced second features is laterally trimmed to a greater degree than any lateral trimming of width of the spaced first features while laterally trimming width of the spaced second features. After laterally trimming of the second features, spacers are formed on sidewalls of the spaced first features and on sidewalls of the spaced second features. The spacers are of some different composition from that of the spaced first features and from that of the spaced second features. After forming the spacers, the spaced first features and the spaced second features are removed from the substrate. The substrate is processed through a mask pattern comprising the spacers. Other embodiments are disclosed.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: April 22, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Gurtej S. Sandhu, Anton deVilliers
  • Patent number: 8648391
    Abstract: The product of the breakdown voltage (BVCEO) and the cutoff frequency (fT) of a SiGe heterojunction bipolar transistor (HBT) is increased beyond the Johnson limit by utilizing a doped region with a hollow core that extends down from the base to the heavily-doped buried collector region. The doped region and the buried collector region have opposite dopant types.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: February 11, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: Jeffrey A. Babcock, Alexei Sadovnikov
  • Patent number: 8609489
    Abstract: Some embodiments include methods of forming memory. A series of photoresist features may be formed over a gate stack, and a placeholder may be formed at an end of said series. The placeholder may be spaced from the end of said series by a gap. A layer may be formed over and between the photoresist features, over the placeholder, and within said gap. The layer may be anisotropically etched into a plurality of first vertical structures along edges of the photoresist features, and into a second vertical structure along an edge of the placeholder. A mask may be formed over the second vertical structure. Subsequently, the first vertical structures may be used to pattern string gates while the mask is used to pattern a select gate. Some embodiments include methods of forming conductive runners, and some embodiments may include semiconductor constructions.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: December 17, 2013
    Assignee: Micron Technology, Inc.
    Inventors: David A. Kewley, Brian Cleereman, Stephen W. Russell, Rex Stone, Anthony C. Krauth
  • Patent number: 8603884
    Abstract: A method of fabricating a substrate includes forming first and second spaced features over a substrate. The first spaced features have elevationally outermost regions which are different in composition from elevationally outermost regions of the second spaced features. The first and second spaced features alternate with one another. Every other first feature is removed from the substrate and pairs of immediately adjacent second features are formed which alternate with individual of remaining of the first features. After such act of removing, the substrate is processed through a mask pattern comprising the pairs of immediately adjacent second features which alternate with individual of the remaining of the first features. Other embodiments are disclosed.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: December 10, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Gurtej S. Sandhu, Anton deVilliers
  • Patent number: 8598629
    Abstract: A high-frequency device having a switching circuit includes a compound semiconductor substrate; a first high-frequency input/output terminal; a second high-frequency input/output terminal; a control signal input terminal; a power terminal; a ground terminal; an insulating portion disposed on one main surface of the compound semiconductor substrate; and a voltage-applying electrode for applying a predetermined positive voltage from the power electrode to the compound semiconductor substrate, wherein the switching circuit includes a field-effect transistor disposed on the other main surface of the active region of the compound semiconductor substrate.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: December 3, 2013
    Assignee: Sony Corporation
    Inventor: Kazumasa Kohama
  • Patent number: 8492237
    Abstract: Methods for fabricating bipolar junction transistors with self-aligned emitter and extrinsic base, bipolar junction transistors made by the methods, and design structures for a BiCMOS integrated circuit. The bipolar junction transistor is fabricated using a sacrificial emitter pedestal that provides a sacrificial mandrel promoting self-alignment between the emitter and the extrinsic base. The sacrificial emitter pedestal is subsequently removed to open an emitter window extending to the intrinsic base. An emitter is formed in the emitter window that lands on the intrinsic base.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, Erik M. Dahlstrom, Peter B. Gray, David L. Harame, Qizhi Liu
  • Patent number: 8273634
    Abstract: A method of fabricating a substrate includes forming first and second spaced features over a substrate. The first spaced features have elevationally outermost regions which are different in composition from elevationally outermost regions of the second spaced features. The first and second spaced features alternate with one another. Every other first feature is removed from the substrate and pairs of immediately adjacent second features are formed which alternate with individual of remaining of the first features. After such act of removing, the substrate is processed through a mask pattern comprising the pairs of immediately adjacent second features which alternate with individual of the remaining of the first features. Other embodiments are disclosed.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: September 25, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Gurtej S. Sandhu, Anton deVilliers
  • Patent number: 8247300
    Abstract: An integrated circuit and method of fabricating the integrated circuit is disclosed. The integrated circuit includes vertical bipolar transistors (30, 50, 60), each having a buried collector region (26?). A carbon-bearing diffusion barrier (28c) is disposed over the buried collector region (26?), to inhibit the diffusion of dopant from the buried collector region (26?) into the overlying epitaxial layer (28). The diffusion barrier (28c) may be formed by incorporating a carbon source into the epitaxial formation of the overlying layer (28), or by ion implantation. In the case of ion implantation of carbon or SiGeC, masks (52, 62) may be used to define the locations of the buried collector regions (26?) that are to receive the carbon; for example, portions underlying eventual collector contacts (33, 44c) may be masked from the carbon implant so that dopant from the buried collector region (26?) can diffuse upward to meet the contact (33).
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: August 21, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Jeffrey A. Babcock, Angelo Pinto, Manfred Schiekofer, Scott G. Balster, Gregory E. Howard, Alfred Hausler
  • Patent number: 8247302
    Abstract: A method of fabricating a substrate includes forming spaced first features and spaced second features over a substrate. The first and second features alternate with one another and are spaced relative one another. Width of the spaced second features is laterally trimmed to a greater degree than any lateral trimming of width of the spaced first features while laterally trimming width of the spaced second features. After laterally trimming of the second features, spacers are formed on sidewalls of the spaced first features and on sidewalls of the spaced second features. The spacers are of some different composition from that of the spaced first features and from that of the spaced second features. After forming the spacers, the spaced first features and the spaced second features are removed from the substrate. The substrate is processed through a mask pattern comprising the spacers. Other embodiments are disclosed.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: August 21, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Gurtej S. Sandhu, Anton deVilliers
  • Patent number: 8173511
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) with a substrate (11) and a semiconductor body (12) which is provided with at least one bipolar transistor having an emitter region (1), a base region (2) and a collector region (3), wherein in the semiconductor body (12) a first semiconductor region (13) is formed that forms one (3) of the collector and emitter regions (1,3) and on the surface of the semiconductor body (12) a stack of layers is formed comprising a first insulating layer (4), a polycrystalline semiconductor layer (5) and a second insulating layer (6) in which stack an opening (7) is formed, after which by non-selective epitaxial growth a further semiconductor layer (22) is deposited of which a monocrystalline horizontal part on the bottom of the opening (7) forms the base region (2) and of which a polycrystalline vertical part (2A) on a side face of the opening (7) is connected to the polycrystalline semiconductor layer (5), after which spacers (S) are formed paral
    Type: Grant
    Filed: October 29, 2006
    Date of Patent: May 8, 2012
    Assignee: NXP B.V.
    Inventors: Joost Melai, Erwin Hijzen, Philippe Meunier-Beillard, Johannes Josephus Theodorus Marinus Donkers
  • Patent number: 8133791
    Abstract: The invention relates to a method according to the part of the surface of the semiconductor body adjoining the opening and which is to be kept free is provided with a cover layer after which the high-crystalline layer is formed by means of a deposition process. The material of the cover layer can then easily be chosen such that it can be selectively etched relative to the silicon underneath. In addition, the cover layer can easily be selectively deposited on the relevant part of the surface because use can be made of an anisotropic deposition process. In such a process the cover layer is not deposited in the hollow and on the bottom of the hollow. It will be apparent that for the high-crystalline layer also other materials can be chosen such as SiGe having such low Ge contents that the SiGe cannot be etched selectively very well compared to the Silicon.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: March 13, 2012
    Assignee: NXP B.V.
    Inventors: Erwin B. Hijzen, Philippe Meunier-Bellard, Johannes J. T. M. Donkers
  • Patent number: 8120122
    Abstract: A method of forming a pattern includes forming a first layer on a substrate, forming a second layer on the first layer, depositing a multi-temperature phase-change material on the second layer, patterning the second layer using the multi-temperature phase-change material as a mask, reflowing the multi-temperature phase-change material, and patterning the first layer using the reflowed multi-temperature phase-change material as a mask.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: February 21, 2012
    Inventor: Scott Jong Ho Limb
  • Patent number: 8021951
    Abstract: Provided is a semiconductor device including: a silicon substrate; at least two trenches spaced apart from each other, being in parallel with each other, and being formed by vertically etching the silicon substrate from a surface thereof; an electrically insulating film for burying therein at least bottom surfaces of the trenches; a base region formed in a region of the silicon substrate located between the two trenches; and an emitter region and a collector region formed on portions of side surfaces of the trenches, respectively, the portions of the sides located above the insulating film and formed in the base region.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: September 20, 2011
    Assignee: Seiko Instruments Inc.
    Inventor: Kazuhiro Tsumura
  • Patent number: 7998807
    Abstract: A method for increasing the speed of a bipolar transistor, includes the following steps: providing a bipolar transistor having emitter, base, and collector regions; providing electrodes for coupling electrical signals with the emitter, base, and collector regions; and adapting the base region to enhance stimulated emission to the detriment of spontaneous emission, so as to reduce carrier recombination lifetime in the base region.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: August 16, 2011
    Assignee: The Board of Trustees of The University of Illinois
    Inventors: Milton Feng, Nick Holonyak, Jr.
  • Patent number: 7989921
    Abstract: An SOI device comprises an isolation trench defining a vertical drift zone, a buried insulating layer to which the isolation trench extends, and an electrode region for emitting charge carriers that is formed adjacent to the insulating layer and that is in contact with the drift zone. The electrode region comprises first strip-shaped portions having a first type of doping and second strip-shaped portions having a second type of doping that is inverse to the first type of doping. A first sidewall doping of the first type of doping is provided at a first sidewall of the isolation trench and a second sidewall doping of the second type of doping is provided at a second sidewall of the isolation trench. The first strip-shaped portions are in contact with the first sidewall doping and the second strip-shaped portions are in contact with the second sidewall doping.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: August 2, 2011
    Assignee: X-Fab Semiconductor Foundries AG
    Inventor: Ralf Lerner
  • Patent number: 7972936
    Abstract: A heterogeneous integrated circuit and method of making the same. An integrated circuit includes a surrogate substrate including a material selected from the group consisting of Group II, Group III, Group IV, Group V, and Group VI materials and their combinations; at least one active semiconductor device including a material combination selected from the group consisting of Group IV-IV, Group III-V and Group II-VI materials; and at least one transferred semiconductor device including a material combination selected from the group consisting of Group IV-IV, Group III-V and Group II-VI materials. The at least one active semiconductor device and the at least one transferred device are interconnected.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: July 5, 2011
    Assignee: HRL Laboratories, LLC
    Inventors: Peter D. Brewer, Andrew T. Hunter, Yakov Royter
  • Patent number: 7897441
    Abstract: A method of fabricating a CMOS image sensor comprising forming an epitaxial layer on a semiconductor substrate, the epitaxial layer comprising a pixel and logic area, forming an STI layer in the epitaxial layer, forming a plurality of wells and a gate pattern having a spacer on the epitaxial layer, forming a plurality of source and drain regions in the epitaxial layer using ion implantation, forming a salicide blocking layer on the epitaxial layer and gate pattern in the pixel area, forming a plurality of silicide layers in the logic area by performing a silicidation process, sequentially forming a PMD liner nitride layer and a PSG layer on the salicide blocking layer in the pixel area and the epitaxial layer and the gate pattern in the logic area, and forming a plurality of contacts connecting the PSG layer to the source and drain regions.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: March 1, 2011
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Sang Gi Lee
  • Patent number: 7892915
    Abstract: A base structure for high performance Silicon Germanium:Carbon (SiGe:C) based heterojunction bipolar transistors (HBTs) with phosophorus atomic layer doping (ALD) is disclosed. The ALD process subjects the base substrate to nitrogen gas (in ambient temperature approximately equal to 500 degrees Celsius) and provides an additional SiGe:C spacer layer. During the ALD process, the percent concentrations of Germanium (Ge) and carbon (C) are substantially matched and phosphorus is a preferred dopant. The improved SiGe:C HBT is less sensitive to process temperature and exposure times, and exhibits lower dopant segregation and sharper base profiles.
    Type: Grant
    Filed: March 2, 2006
    Date of Patent: February 22, 2011
    Assignee: National Semiconductor Corporation
    Inventors: Jamal Ramdani, Craig Richard Printy, Thanas Budri
  • Patent number: 7846806
    Abstract: A system and method are disclosed for providing a self aligned silicon germanium (SiGe) heterojunction bipolar transistor using a mesa emitter-base architecture. The transistor of the present invention comprises a non-selective epitaxial growth (NSEG) collector, an NSEG base, an NSEG emitter and a raised external base that is formed by the selective epitaxial growth (SEG) of a doped polysilicon layer.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: December 7, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Jamal Ramdani, Mingwei Xu
  • Patent number: 7800143
    Abstract: A memory cell and methods of making and operating the same are provided. In one aspect, a method of forming a memory cell is provided that includes forming a MOS transistor that has a gate, a source region and a drain region. A bipolar transistor is formed that has a collector, a base and an emitter. The emitter of the bipolar transistor is formed to serve as the source region for the MOS transistor and the base of the bipolar transistor is formed to serve as a capacitive charge storage region for the memory cell.
    Type: Grant
    Filed: December 24, 2006
    Date of Patent: September 21, 2010
    Assignee: GlobalFoundries Inc.
    Inventor: Hyun-Jin Cho
  • Patent number: 7713829
    Abstract: A SiGe bipolar transistor containing substantially no dislocation defects present between the emitter and collector region and a method of forming the same are provided. The SiGe bipolar transistor includes a collector region of a first conductivity type; a SiGe base region formed on a portion of said collector region; and an emitter region of said first conductivity type formed over a portion of said base region, wherein said collector region and said base region include carbon continuously therein. The SiGe base region is further doped with boron.
    Type: Grant
    Filed: November 22, 2006
    Date of Patent: May 11, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jack Oon Chu, Douglas Duane Coolbaugh, James Stuart Dunn, David R. Greenberg, David L. Harame, Basanth Jagannathan, Robb Allen Johnson, Louis D. Lanzerotti, Kathryn Turner Schonenberg, Ryan Wayne Wuthrich
  • Patent number: 7709338
    Abstract: A method of fabricating an heterojunction bipolar transistor (HBT) structure in a bipolar complementary metal-oxide-semiconductor (BiCMOS) process selectively thickens an oxide layer overlying a base region in areas that are not covered by a temporary emitter and spacers such that the temporary emitter can be removed and the base-emitter junction can be exposed without also completely removing the oxide overlying the areas of the base region that are not covered by the temporary emitter or spacers. As a result, a photomask is not required to remove the temporary emitter and to expose the base-emitter junction.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: May 4, 2010
    Assignee: International Business Machines Corporation
    Inventors: Qizhi Liu, Peter B. Gray, Alvin J. Joseph
  • Patent number: 7667281
    Abstract: Broadly speaking, methods and an apparatus are provided for removing an inorganic material from a substrate. More specifically, the methods provide for removing the inorganic material from the substrate through exposure to a high density plasma generated using an inductively coupled etching apparatus. The high density plasma is set and controlled to isotropically contact particular regions of the inorganic material to allow for trimming and control of a critical dimension associated with the inorganic material.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: February 23, 2010
    Assignee: Lam Research Corporation
    Inventors: C. Robert Koemtzopoulos, Shibu Gangadharan, Chris G. N. Lee, Alan Miller
  • Patent number: 7659157
    Abstract: A first high-k gate dielectric layer and a first metal gate layer are formed on first and second semiconductor fins. A first metal gate ring is formed on the first semiconductor fin. In one embodiment, the first high-k gate dielectric layer remains on the second semiconductor fin. A second metal gate layer and a silicon containing layer are deposited and patterned to form gate electrodes. In another embodiment, a second high-k dielectric layer replaces the first high-k dielectric layer over the second semiconductor fin, followed by formation of a second metal gate layer. A first electrode comprising a first gate dielectric and a first metal gate is formed on the first semiconductor fin, while a second electrode comprising a second gate dielectric and a second metal gate is formed on the second semiconductor fin. Absence of high-k gate dielectric materials on a gate wiring prevents increase in parasitic resistance.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Brian J. Greene, Mahender Kumar
  • Patent number: 7638820
    Abstract: Provided is a process for forming a contact for a compound semiconductor device without electrically shorting the device. In one embodiment, a highly doped compound semiconductor material is electrically connected to a compound semiconductor material of the, same conductivity type through an opening in a compound semiconductor material of the opposite conductivity type. Another embodiment discloses a transistor including multiple compound semiconductor layers where a highly doped compound semiconductor material is electrically connected to a compound semiconductor layer of the same conductivity type through an opening in a compound semiconductor layer of the opposite conductivity type. Embodiments further include metal contacts electrically connected to the highly doped compound semiconductor material. A substantially planar semiconductor device is disclosed. In embodiments, the compound semiconductor material may be silicon carbide.
    Type: Grant
    Filed: November 6, 2006
    Date of Patent: December 29, 2009
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Martin E. Kordesch, Howard D. Bartlow, Richard L. Woodin
  • Patent number: 7605027
    Abstract: A method of fabricating a bipolar transistor in a first trench (11) is disclosed wherein only one photolithographic mask is applied which forms a first trench (11) and a second trench (12). A collector region (21) is formed self-aligned in the first trench (11) and the second trench (12). A base region (31) is formed self-aligned on a portion of the collector region (21), which is in the first trench (11). An emitter region (41) is formed self-aligned on a portion of the base region (31). A contact to the collector region (21) is formed in the second trench (12) and a contact to the base region (31) is formed in the first trench (11). The fabrication of the bipolar transistor may be integrated in a standard CMOS process.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: October 20, 2009
    Assignee: NXP B.V.
    Inventors: Philippe Meunier-Beillard, Erwin Hijzen, Johannes J. T. M. Donkers, Francois Neuilly
  • Patent number: 7562327
    Abstract: In a cell comprising an N well and a P well, a distance SP04 from a center line of a contact N-type region to an N well end of the N well is set to be a distance which causes a transistor not to be affected by resist. A distance from a well boundary to the center line of the contact N-type region is equal to SP04. A design on the P well is similar to that on the N well. Thereby, modeling of the transistor in the cell can be performed, taking into consideration an influence from resist in one direction. Also, by fabricating a cell array which satisfies the above-described conditions, design accuracy can be improved.
    Type: Grant
    Filed: November 2, 2006
    Date of Patent: July 14, 2009
    Assignee: Panasonic Corporation
    Inventors: Shinsaku Sekido, Kyoji Yamashita, Katsuhiro Ootani, Yasuyuki Sahara, Daisaku Ikoma
  • Publication number: 20090056102
    Abstract: A method for fabricating a semiconductor device includes (a) depositing an insulating film on a semiconductor substrate; (b) forming a recess in the insulating film; (c) depositing a conductive film on the insulating film while filling the recess with the conductive film; and (d) polishing the conductive film. Step (d) includes a first polishing substep of using a first polisher pad conditioned with a first dresser and a second polishing substep of using a second polisher pad conditioned with a second dresser different from the first dresser.
    Type: Application
    Filed: August 28, 2008
    Publication date: March 5, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Manabu SAKAMOTO, Tetsuya SHIRASU, Naoki IDANI
  • Patent number: 7485537
    Abstract: The present invention provides a a method of fabricating bipolar junction transistors (BJTs) on selected areas of a very thin buried oxide (BOX) using a conventional silicon-on-insulator (SOI) starting wafer with a thick BOX. The reduced BOX thickness underneath the bipolar devices allows for a significantly reduced substrate bias compatible with the CMOS to be applied while maintaining the advantages of a thick BOX underneath the CMOS.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: February 3, 2009
    Assignee: International Business Machines Corporation
    Inventors: Herbert L. Ho, Mahender Kumar, Qiqing Ouyang, Paul A. Papworth, Christopher D. Sheraw, Michael D. Steigerwalt
  • Patent number: 7473610
    Abstract: A method of forming a heterojunction bipolar transistor (HBT) device is disclosed.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventor: Francois Pagette
  • Patent number: 7422951
    Abstract: The present invention provides a method of fabricating a self-aligned bipolar transistor, by which the fabricating method can be simplified by forming P+ and N+ junctions by self-alignment and by which device reliability can be enhanced. The present invention includes the steps of forming a well in a substrate isolated by a device isolation layer, forming a polysilicon gate on the substrate, forming an insulating layer on the substrate, forming a sidewall spacer on lateral sides of the polysilicon gate by etching the insulating layer, forming a P+ ion implanted region in the substrate, forming an N+ ion implanted region in the substrate, and forming silicide on the P+ and N+ ion implanted regions.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: September 9, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kwang Young Ko
  • Patent number: 7390720
    Abstract: A bipolar transistor structure includes an intrinsic base layer formed over a collector layer, an emitter formed over the intrinsic base layer, and an extrinsic base layer formed over the intrinsic layer and adjacent the emitter. A ring shaped collector implant structure is formed within an upper portion of the collector layer, wherein the ring shaped collector implant structure is disposed so as to be aligned beneath a perimeter portion of the emitter.
    Type: Grant
    Filed: October 5, 2006
    Date of Patent: June 24, 2008
    Assignee: International Business Machines Corporation
    Inventor: Francois Pagette
  • Patent number: 7314791
    Abstract: An integrated circuit including a bipolar transistor with improved forward second breakdown is disclosed. In one embodiment, the bipolar transistor includes a base, a collector, a plurality of emitter sections coupled to a common emitter and a ballast emitter for each emitter section. Each ballast resistor is coupled between the common emitter and an associated emitter section. The size of each ballast resistor is selected so that the size of the ballast resistors vary across a two dimensional direction in relation to a lateral surface of the bipolar transistor.
    Type: Grant
    Filed: September 15, 2005
    Date of Patent: January 1, 2008
    Assignee: Intersil Americas Inc.
    Inventor: James D. Beasom
  • Patent number: 7309905
    Abstract: A system and method is disclosed for implementing a new bipolar-based silicon controlled rectifier (SCR) circuit for an electrostatic discharge (ESD) protection. The SCR circuit comprises a bipolar device to be formed on a semiconductor substrate. The bipolar device comprises at least an N-well for providing a high resistance and a P+ material to be used as a collector thereof for further providing a high resistance. At least an Nmoat guard ring and a Pmoat guard ring surround the bipolar device, wherein when an ESD event occurs, the high resistance provided by the N-well and the P+ material of the bipolar device increases a turn-on speed.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: December 18, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Kuo-Feng Yu, Jian-Hsing Lee, Jiaw-Ren Shih, Fu Chin Yang
  • Patent number: 7271046
    Abstract: A semiconductor device includes a bipolar transistor formed on a semiconductor substrate 1, in which a collector region 13 is formed on the semiconductor substrate 1; a first insulating layer 31 having a first opening 51 formed in a collector region 13 is formed on the surface of the semiconductor substrate 1; and a base semiconductor layer 14B is formed in contact with the collector region through the first opening 51. The base semiconductor layer 14B is formed such that the edge thereof extends onto the first insulating layer 31.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: September 18, 2007
    Assignee: Sony Corporation
    Inventor: Chihiro Arai
  • Patent number: 7271434
    Abstract: The present invention discloses a method including providing a substrate; forming a lower conductor over the substrate; forming a conducting nanostructure over the lower conductor; forming a thin dielectric over the conducting nanostructure; and forming an upper conductor over the thin dielectric. The present invention further discloses a device including a substrate; a lower conductor located over the substrate; a conducting nanostructure located over the lower conductor; a thin dielectric located over the conducting nanostructure; and an upper conductor located over the thin dielectric.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: September 18, 2007
    Assignee: Intel Corporation
    Inventors: Scot A. Kellar, Sarah E. Kim
  • Patent number: 7226844
    Abstract: A method forms a bipolar transistor in a semiconductor substrate of a first conductivity type. The method includes: forming on the substrate a single-crystal silicon-germanium layer; forming a heavily-doped single-crystal silicon layer of a second conductivity type; forming a silicon oxide layer; opening a window in the silicon oxide and silicon layers; forming on the walls of the window a silicon nitride spacer; removing the silicon-germanium layer from the bottom of the window; forming in the cavity resulting from the previous removal a heavily-doped single-crystal semiconductor layer of the second conductivity type; and forming in said window the emitter of the transistor.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: June 5, 2007
    Assignee: STMicroelectronics SA
    Inventors: Alain Chantre, Pascal Chevalier
  • Patent number: 7192838
    Abstract: Method of producing complementary SiGe bipolar transistors. In a method of producing complementary SiGe bipolar transistors, interface oxide layers (38, 58) for NPN and PNP emitters (44, 64), are separately formed and emitter polysilicon (40, 60) is separately patterned, allowing these layers to be optimized for the respective conductivity type.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: March 20, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Philipp Steinmann, Scott Balster, Badih El-Kareh, Thomas Scharnagl
  • Patent number: 7166517
    Abstract: The present invention provides a method of manufacturing a semiconductor device which includes an amorphous semiconductor film forming treatment of supplying a starting material gas containing germanium to a semiconductor substrate, thereby forming an amorphous semiconductor film containing the germanium on the semiconductor substrate. Further, it also provides a semiconductor device of a novel structure manufactured by the manufacturing method.
    Type: Grant
    Filed: October 16, 2000
    Date of Patent: January 23, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Akihiro Miyauchi, Yousuke Inoue, Toshio Andou
  • Patent number: 7144788
    Abstract: The present invention relates to a method for manufacturing an optical transceiver that installs an optical transmitting assembly and an optical receiving assembly both are compact, inexpensive, and capable of operating at a high speed. The optical transmitting assembly of the present invention provides the metal bottom that installs the thermoelectric cooler thereon and the semiconductor optical device is mounted, via the insulating substrates, on the thermoelectric cooler. The first and second multi-layered ceramic substrates are provided to surround the thermoelectric cooler. The DC signal or the low-frequency signal for the thermoelectric cooler and the semiconductor optical device is supplied through the first ceramic substrate, while the high frequency signal for the semiconductor device, with the complementary signal having the opposite phase to the high frequency signal, is provided to the semiconductor device through the inner layer of the second ceramic substrate and the insulating substrate.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: December 5, 2006
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Toshiaki Kihara, Hisao Go, Kiyoshi Kato
  • Patent number: 7132344
    Abstract: A bipolar junction transistor (BJT) structure and fabrication method are provided in which a doped polysilicon filled trench is utilized to form both the extrinsic base contact region and a vertical field plate. A sacrificial mandrel of dielectric material is formed over regions that will become the BJT active area. This allows the polysilicon filled trench to be extended above the original semiconductor substrate surface. In this way, the base-collector and emitter-base junctions are both self-aligned to the field plate trench. The field plate is utilized to control and shape the electric field in the base-collector depletion region, allowing heavier collector well doping for the same breakdown voltage. This results in improvement in both the breakdown/Ron ratio and the fT*BVcbo product.
    Type: Grant
    Filed: December 3, 2004
    Date of Patent: November 7, 2006
    Assignee: National Semiconductor Corporation
    Inventor: Christopher J. Knorr
  • Patent number: 7109567
    Abstract: The invention relates to a semiconductor device with a heterojunction bipolar, in particular npn, transistor with an emitter region (1), a base region (2), and a collector region (3), which are provided with respectively a first, a second, and a third connection conductor (4, 5, 6), while the bandgap of the base region (2) is lower than that of the collector region (3) or of the emitter region (1), for example owing to the use of a silicon-germanium alloy instead of pure silicon. Such a device is very fast, but its transistor shows a relatively low BVceo. In a device according to the invention, the emitter region (1) or the base region (2) comprises a sub-region (1B, 2B) with a reduced doping concentration, which sub-region (1B, 2B) is provided with a further connection conductor (4B, 5B) which forms a Schottky junction with the sub-region (1B, 2B). Such a device results in a transistor with a particularly high cut-off frequency fT but with no or hardly any reduction of the BVceo.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: September 19, 2006
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Raymond Josephus Engelbart Hueting, Jan Willem Slotboom, Leon Cornelis Maria Van Den Oever
  • Patent number: RE41477
    Abstract: An N type buried layer is formed, in one embodiment, by a non selective implant on the surface of a wafer and later diffusion. Subsequently, the wafer is masked and a selective P type buried layer is formed by implant and diffusion. The coefficient of diffusion of the P type buried layer dopant is greater than the N type buried layer dopant so that connections can be made to the P type buried layer by P wells which have a lower dopant concentration than the N buried layer.
    Type: Grant
    Filed: October 5, 2004
    Date of Patent: August 10, 2010
    Inventor: James D. Beasom